Knowledge (XXG)

Design by committee

Source đź“ť

72: 35: 83: 529: 171:
largely responsible for its bloat, along with stacking too many new and unproven features into its design. Uneven progress across areas and unexpected challenges meant that major technical fixes and redesigns could halt the program's movement, requiring planes to be remedied even as they were being delivered.
130:
countries, even including West German designs to fill gaps, the Class 119 was unreliable. Only 200 were built in ten years as East Germany cancelled the contract prematurely. No other country purchased the Class 119, but after 1990 unified German rail had to deal with them until they were replaced.
170:
has been described as designed by committee, due to being overscheduled, over budget, and underperforming expectations. It was originally conceived to serve the widely varying needs of multiple branches of the military all in one simple platform. This multi-interest approach has been pinpointed as
142:
was standardizing ATM, parties from the United States wanted a 64-byte payload. Parties from Europe wanted 32-byte payloads. Most of the European parties eventually came around to the arguments made by the Americans, but France and a few others held out for a shorter cell length of 32 bytes. A
42:
The term is used to refer to suboptimal traits that such a process may produce as a result of having to compromise between the requirements and viewpoints of the participants, particularly in the presence of poor leadership or poor technical knowledge, such as needless complexity, internal
117:
restrictions. Only the USSR was allowed to continue building powerful diesel engines, but they were too heavy for East Germany where some lines were limited to low axle load locomotives. Not allowed to continue building their own designs, the East Germans managed to have Romanian
295: 63:" expresses the same idea. The term is also common in other fields of design such as graphic design, architecture or industrial design. In automotive design, this process is often blamed for unpopular or poorly designed cars. 158:
and the Wider Community" in 2009, listing as a compromise all the schools and communities merged into it. The name lasted seven years before its headmistress, who called the name "so embarrassing", cut it to simply
55:
style, members of the organizations are not included and the final outcome is the responsibility of the leader. The phrase, "a camel is a horse designed by committee" is often used to describe design by committee.
299: 71: 487: 2011: 95: 2080: 2085: 866: 454: 59:
The term is especially common in technical parlance; and stresses the need for technical quality over political feasibility. The proverb "
391: 2029: 824: 2039: 252: 167: 2270: 1889: 563: 416: 334: 1392: 533: 441: 2034: 216: 2105: 1658: 1181: 758: 748: 362: 1911: 1894: 1616: 1456: 891: 807: 780: 320: 283: 60: 150:
formed by the merger of several other schools: it was officially named the "Knowsley Park Centre for Learning, Serving
1208: 770: 181:
designed by other companies with as many as 78 buttons as an example of design by committee when training employees.
2275: 2137: 2049: 1419: 958: 135: 2232: 1901: 1516: 1511: 1441: 1382: 1186: 1171: 982: 898: 44: 34: 2447: 2237: 1994: 1906: 1668: 1355: 1285: 1146: 1002: 913: 787: 1330: 2202: 2167: 2095: 2054: 2006: 1864: 1683: 1641: 1606: 1586: 1350: 38:
Remote controls with as many as 78 buttons have been cited as an example of a product designed by committee.
1722: 1591: 1556: 1007: 908: 881: 819: 2403: 2187: 2070: 1884: 1690: 1663: 1653: 1621: 1611: 1576: 1491: 1436: 1431: 1414: 1360: 1198: 1151: 1012: 876: 797: 653: 82: 2357: 2212: 2172: 1926: 1879: 1700: 1648: 1571: 1561: 1461: 1424: 1404: 1335: 1325: 733: 723: 680: 658: 613: 556: 319:
D. Stevenson, "Electropolitical Correctness and High-Speed Networking, or, Why ATM is like a Nose",
2152: 2075: 1984: 1869: 1717: 1695: 1601: 1581: 1446: 1290: 1203: 970: 923: 704: 221: 185: 155: 98:, especially when referring to the design of languages and technical standards, as demonstrated by 43:
inconsistency, logical flaws, banality, and the lack of a unifying vision. This design process by
522: 138:(ATM) cell size of 53 bytes. The choice of 53 bytes was political rather than technical. When the 2389: 2265: 2217: 2192: 1631: 1466: 1451: 1310: 1126: 997: 943: 675: 160: 48: 2417: 193:
as a vehicle designed by committee, which was largely designed based on feedback from extensive
2372: 2342: 2147: 2100: 1956: 1946: 1767: 1762: 1757: 1727: 1566: 1551: 1506: 1496: 1481: 1476: 1409: 1345: 1340: 1243: 1078: 975: 953: 903: 861: 851: 765: 643: 583: 495: 462: 260: 206: 1387: 392:"The making of an education catastrophe – schools in Knowsley were dubbed 'wacky warehouses'" 134:
An example of a technical decision said to be a typical result of design by committee is the
2347: 2312: 2287: 2000: 1974: 1936: 1742: 1678: 1626: 1531: 1521: 1501: 1377: 1295: 1248: 1218: 1193: 1161: 1121: 1061: 992: 831: 2452: 2337: 2317: 2297: 2292: 2282: 2177: 1812: 1802: 1787: 1747: 1712: 1705: 1636: 1596: 1365: 1238: 1228: 1136: 1093: 1073: 802: 753: 549: 231: 127: 523:
Rod Johnson explains what is wrong with design by committee in the development of Java EE
363:"Can a new drive change the fortunes of schools in one of Britain's most deprived areas?" 2362: 2307: 2260: 2242: 2044: 1792: 1782: 1777: 1752: 1546: 1526: 1399: 1370: 1320: 1253: 1223: 1213: 1176: 1098: 1056: 1051: 933: 928: 918: 836: 814: 648: 638: 339: 178: 123: 528: 2441: 2367: 2352: 2332: 2255: 2157: 1989: 1979: 1807: 1797: 1772: 1737: 1300: 1233: 1156: 1131: 1083: 1068: 775: 695: 190: 87: 26:
term for a project that has many designers involved but no unifying plan or vision.
2250: 2227: 2197: 2182: 1471: 1088: 1029: 841: 792: 743: 718: 670: 663: 110: 106: 75: 296:"Occurrences of "Design by committee" in Google Groups USENET archives, 1981–1992" 2327: 2322: 2302: 2222: 2142: 1951: 1856: 1166: 1141: 1113: 1042: 987: 965: 713: 690: 685: 628: 623: 194: 51:, where the project leader decides on the design. The difference is that in an 2424: 1941: 1536: 1315: 1037: 1017: 871: 709: 387: 226: 211: 174: 23: 499: 466: 264: 2410: 2396: 2207: 1931: 1916: 1874: 1486: 1046: 886: 147: 52: 78:
diesel locomotive built 1976 to 1985 in communist Romania for East Germany
1541: 588: 2162: 2090: 700: 151: 114: 143:
53-byte size (48 bytes plus 5 byte header) was the compromise chosen.
1921: 572: 99: 109:
diesel locomotive, built from 1976 to 1985 in communist Romania for
633: 139: 81: 70: 33: 1673: 2126: 1829: 1274: 601: 545: 197:
testing, and was released to negative reviews and poor sales.
146:
An example described as naming by committee was a school near
335:"Knowsley school to have one of "longest names in the world"" 541: 417:"Lessons to be learned from Knowsley's schools (letters)" 455:"Apple TV Remote Expected to Add Touch Pad in Redesign" 253:"Metro Matters; The State Of the State Of (Whatever)" 2063: 2022: 1965: 1855: 1107: 1026: 942: 850: 732: 612: 86:The poor reception and commercial failure of the 557: 8: 2123: 1852: 1826: 1271: 609: 598: 564: 550: 542: 96:information and communications technology 2081:Good Design Award (Museum of Modern Art) 47:is in contrast to autocratic design, or 243: 126:. With a mixture of parts from several 90:was attributed to design by committee. 2086:Good Design Award (Chicago Athenaeum) 488:"Biggest Automaker Needs Big Changes" 7: 361:Boffey, Daniel (13 November 2016). 284:Biggest Automaker Needs Big Changes 2030:American Institute of Graphic Arts 14: 2040:Design and Industries Association 251:Kolbert, Elizabeth (1998-01-26). 527: 486:Weisman, Jonathan (2005-06-11). 2035:Chartered Society of Designers 535:too many cooks spoil the broth 217:The blind men and the elephant 61:too many cooks spoil the broth 1: 2106:Prince Philip Designers Prize 749:Architectural lighting design 532:The dictionary definition of 453:Chen, Brian X. (2015-05-04). 94:The term is commonly used in 16:Term for a visionless project 1912:Electronic design automation 1895:Virtual home design software 867:Automotive suspension design 442:The F-35 may be unsalvagable 122:assemble a successor to the 771:Environmental impact design 333:Turner, Ben (28 May 2009). 2469: 2050:International Forum Design 1420:Engineering design process 136:Asynchronous Transfer Mode 105:An alleged example is the 2385: 2133: 2122: 1851: 1825: 1281: 1270: 1172:Integrated circuit design 1094:Stage/set lighting design 983:Hardware interface design 899:Hardware interface design 608: 597: 579: 321:Proceedings of TriCom '93 168:F-35 Joint Strike Fighter 2007:Industrial design rights 1995:Fashion design copyright 1907:Design quality indicator 1356:Creative problem-solving 1147:Electrical system design 1003:Sonic interaction design 914:Photographic lens design 788:Healthy community design 2203:New product development 2168:Enterprise architecture 2096:IF Product Design Award 2055:Design Research Society 1607:Reliability engineering 1659:Top-down and bottom-up 1008:User experience design 909:Packaging and labeling 882:Electric guitar design 820:Landscape architecture 91: 79: 39: 2188:Innovation management 2071:European Design Award 1837:Intellectual property 1654:Theory of constraints 1617:Responsibility-driven 1457:For manufacturability 1361:Creativity techniques 1199:Nuclear weapon design 1013:User interface design 877:Corrugated box design 798:Interior architecture 85: 74: 37: 2233:Unintelligent design 2213:Philosophy of design 1927:Design specification 1880:Comprehensive layout 1452:For behaviour change 1425:Probabilistic design 1187:Power network design 724:Visual merchandising 681:Instructional design 659:Postage stamp design 2153:Creative industries 2076:German Design Award 1985:Design infringement 1870:Architectural model 1209:Organization design 1204:Nucleic acid design 1152:Experimental design 705:Traffic sign design 390:(29 January 2017). 222:Wisdom of the crowd 186:The Washington Post 20:Design by committee 2218:Process simulation 2193:Intelligent design 1517:Intelligence-based 1512:Integrated topside 1442:Framework-oriented 1127:Behavioural design 998:Information design 676:Information design 459:The New York Times 257:The New York Times 161:The Prescot School 113:due to Soviet-era 92: 80: 49:design by dictator 40: 2435: 2434: 2381: 2380: 2148:Conceptual design 2118: 2117: 2114: 2113: 2101:James Dyson Award 1957:Website wireframe 1947:Technical drawing 1821: 1820: 1669:Transgenerational 1410:Ecological design 1286:Activity-centered 1266: 1265: 1262: 1261: 1244:Spacecraft design 1038:Public art design 976:Video game design 954:Experience design 924:Production design 904:Motorcycle design 862:Automotive design 766:Ecological design 644:Film title design 423:. 6 February 2017 207:Condorcet paradox 30:Usage of the term 2460: 2427: 2420: 2413: 2406: 2399: 2392: 2124: 2001:Geschmacksmuster 1975:Community design 1853: 1827: 1587:Process-centered 1383:Design–bid–build 1351:Cradle-to-cradle 1331:Concept-oriented 1272: 1249:Strategic design 1219:Processor design 1194:Mechanism design 1162:Geometric design 1122:Algorithm design 1062:Jewellery design 993:Immersive design 887:Furniture design 832:Landscape design 610: 599: 566: 559: 552: 543: 531: 510: 509: 507: 506: 483: 477: 476: 474: 473: 450: 444: 439: 433: 432: 430: 428: 413: 407: 406: 404: 402: 384: 378: 377: 375: 373: 358: 352: 351: 349: 347: 330: 324: 317: 311: 310: 308: 307: 298:. Archived from 292: 286: 281: 275: 274: 272: 271: 248: 177:reportedly uses 2468: 2467: 2463: 2462: 2461: 2459: 2458: 2457: 2448:Group processes 2438: 2437: 2436: 2431: 2425: 2418: 2411: 2404: 2397: 2390: 2377: 2178:Futures studies 2129: 2110: 2059: 2018: 1967: 1961: 1847: 1846: 1817: 1723:Value sensitive 1713:User innovation 1592:Public interest 1557:Object-oriented 1277: 1258: 1239:Software design 1229:Research design 1182:Physical design 1137:Database design 1111: 1109: 1103: 1079:Property design 1074:Game art design 1028: 1022: 945: 938: 853: 846: 803:Interior design 754:Building design 735: 728: 615: 604: 593: 575: 570: 519: 514: 513: 504: 502: 492:Washington Post 485: 484: 480: 471: 469: 452: 451: 447: 440: 436: 426: 424: 415: 414: 410: 400: 398: 386: 385: 381: 371: 369: 360: 359: 355: 345: 343: 332: 331: 327: 318: 314: 305: 303: 294: 293: 289: 282: 278: 269: 267: 250: 249: 245: 240: 232:Overengineering 203: 179:remote controls 128:planned economy 120:23 August Works 69: 32: 17: 12: 11: 5: 2466: 2464: 2456: 2455: 2450: 2440: 2439: 2433: 2432: 2430: 2429: 2422: 2415: 2408: 2401: 2394: 2386: 2383: 2382: 2379: 2378: 2376: 2375: 2370: 2365: 2360: 2355: 2350: 2345: 2340: 2335: 2330: 2325: 2320: 2315: 2310: 2305: 2300: 2295: 2290: 2285: 2280: 2279: 2278: 2273: 2263: 2258: 2253: 2246: 2245: 2243:Wicked problem 2240: 2235: 2230: 2225: 2220: 2215: 2210: 2205: 2200: 2195: 2190: 2185: 2180: 2175: 2170: 2165: 2160: 2155: 2150: 2145: 2140: 2134: 2131: 2130: 2128:Related topics 2127: 2120: 2119: 2116: 2115: 2112: 2111: 2109: 2108: 2103: 2098: 2093: 2088: 2083: 2078: 2073: 2067: 2065: 2061: 2060: 2058: 2057: 2052: 2047: 2045:Design Council 2042: 2037: 2032: 2026: 2024: 2020: 2019: 2017: 2016: 2015: 2014: 2012:European Union 2004: 1997: 1992: 1987: 1982: 1977: 1971: 1969: 1963: 1962: 1960: 1959: 1954: 1949: 1944: 1939: 1934: 1929: 1924: 1919: 1914: 1909: 1904: 1899: 1898: 1897: 1892: 1882: 1877: 1872: 1867: 1861: 1859: 1849: 1848: 1845: 1844: 1841: 1838: 1835: 1831: 1830: 1823: 1822: 1819: 1818: 1816: 1815: 1810: 1805: 1800: 1795: 1790: 1785: 1780: 1775: 1770: 1765: 1760: 1755: 1750: 1745: 1740: 1733: 1732: 1731: 1730: 1720: 1715: 1710: 1709: 1708: 1698: 1693: 1691:Usage-centered 1688: 1687: 1686: 1684:Design for All 1676: 1671: 1666: 1664:Transformation 1661: 1656: 1651: 1646: 1645: 1644: 1634: 1629: 1624: 1619: 1614: 1612:Research-based 1609: 1604: 1599: 1594: 1589: 1584: 1579: 1577:Platform-based 1574: 1569: 1564: 1559: 1554: 1549: 1544: 1539: 1534: 1529: 1527:KISS principle 1524: 1519: 1514: 1509: 1504: 1499: 1494: 1489: 1484: 1479: 1474: 1469: 1464: 1459: 1454: 1449: 1444: 1439: 1437:Fault-tolerant 1434: 1432:Error-tolerant 1429: 1428: 1427: 1417: 1415:Energy neutral 1412: 1407: 1402: 1397: 1396: 1395: 1385: 1380: 1375: 1374: 1373: 1371:Design fiction 1363: 1358: 1353: 1348: 1343: 1338: 1333: 1328: 1323: 1318: 1313: 1308: 1303: 1298: 1293: 1288: 1282: 1279: 1278: 1275: 1268: 1267: 1264: 1263: 1260: 1259: 1257: 1256: 1254:Systems design 1251: 1246: 1241: 1236: 1231: 1226: 1224:Protein design 1221: 1216: 1214:Process design 1211: 1206: 1201: 1196: 1191: 1190: 1189: 1184: 1179: 1177:Circuit design 1169: 1164: 1159: 1154: 1149: 1144: 1139: 1134: 1129: 1124: 1118: 1116: 1105: 1104: 1102: 1101: 1099:Textile design 1096: 1091: 1086: 1081: 1076: 1071: 1066: 1065: 1064: 1059: 1057:Costume design 1052:Fashion design 1049: 1040: 1034: 1032: 1024: 1023: 1021: 1020: 1015: 1010: 1005: 1000: 995: 990: 985: 980: 979: 978: 973: 963: 962: 961: 950: 948: 940: 939: 937: 936: 934:Service design 931: 929:Sensory design 926: 921: 919:Product design 916: 911: 906: 901: 896: 895: 894: 884: 879: 874: 869: 864: 858: 856: 848: 847: 845: 844: 839: 837:Spatial design 834: 829: 828: 827: 817: 815:Keyline design 812: 811: 810: 800: 795: 790: 785: 784: 783: 781:Computer-aided 773: 768: 763: 762: 761: 751: 746: 740: 738: 730: 729: 727: 726: 721: 716: 707: 698: 693: 688: 683: 678: 673: 668: 667: 666: 661: 656: 649:Graphic design 646: 641: 639:Exhibit design 636: 631: 626: 620: 618: 606: 605: 602: 595: 594: 592: 591: 586: 580: 577: 576: 571: 569: 568: 561: 554: 546: 540: 539: 525: 518: 517:External links 515: 512: 511: 478: 445: 434: 408: 379: 353: 340:Liverpool Echo 325: 312: 287: 276: 242: 241: 239: 236: 235: 234: 229: 224: 219: 214: 209: 202: 199: 189:described the 68: 65: 31: 28: 15: 13: 10: 9: 6: 4: 3: 2: 2465: 2454: 2451: 2449: 2446: 2445: 2443: 2428: 2423: 2421: 2416: 2414: 2409: 2407: 2402: 2400: 2395: 2393: 2388: 2387: 2384: 2374: 2371: 2369: 2366: 2364: 2361: 2359: 2358:specification 2356: 2354: 2351: 2349: 2346: 2344: 2341: 2339: 2336: 2334: 2331: 2329: 2326: 2324: 2321: 2319: 2316: 2314: 2311: 2309: 2306: 2304: 2301: 2299: 2296: 2294: 2291: 2289: 2286: 2284: 2281: 2277: 2274: 2272: 2271:architectural 2269: 2268: 2267: 2264: 2262: 2259: 2257: 2254: 2252: 2248: 2247: 2244: 2241: 2239: 2238:Visualization 2236: 2234: 2231: 2229: 2226: 2224: 2221: 2219: 2216: 2214: 2211: 2209: 2206: 2204: 2201: 2199: 2196: 2194: 2191: 2189: 2186: 2184: 2181: 2179: 2176: 2174: 2171: 2169: 2166: 2164: 2161: 2159: 2158:Cultural icon 2156: 2154: 2151: 2149: 2146: 2144: 2141: 2139: 2136: 2135: 2132: 2125: 2121: 2107: 2104: 2102: 2099: 2097: 2094: 2092: 2089: 2087: 2084: 2082: 2079: 2077: 2074: 2072: 2069: 2068: 2066: 2062: 2056: 2053: 2051: 2048: 2046: 2043: 2041: 2038: 2036: 2033: 2031: 2028: 2027: 2025: 2023:Organizations 2021: 2013: 2010: 2009: 2008: 2005: 2003: 2002: 1998: 1996: 1993: 1991: 1990:Design patent 1988: 1986: 1983: 1981: 1980:Design around 1978: 1976: 1973: 1972: 1970: 1964: 1958: 1955: 1953: 1950: 1948: 1945: 1943: 1940: 1938: 1935: 1933: 1930: 1928: 1925: 1923: 1920: 1918: 1915: 1913: 1910: 1908: 1905: 1903: 1900: 1896: 1893: 1891: 1888: 1887: 1886: 1883: 1881: 1878: 1876: 1873: 1871: 1868: 1866: 1863: 1862: 1860: 1858: 1854: 1850: 1842: 1840:Organizations 1839: 1836: 1833: 1832: 1828: 1824: 1814: 1811: 1809: 1806: 1804: 1801: 1799: 1796: 1794: 1791: 1789: 1786: 1784: 1781: 1779: 1776: 1774: 1771: 1769: 1766: 1764: 1761: 1759: 1756: 1754: 1751: 1749: 1746: 1744: 1741: 1739: 1735: 1734: 1729: 1726: 1725: 1724: 1721: 1719: 1716: 1714: 1711: 1707: 1704: 1703: 1702: 1701:User-centered 1699: 1697: 1694: 1692: 1689: 1685: 1682: 1681: 1680: 1677: 1675: 1672: 1670: 1667: 1665: 1662: 1660: 1657: 1655: 1652: 1650: 1649:Tableless web 1647: 1643: 1640: 1639: 1638: 1635: 1633: 1630: 1628: 1625: 1623: 1620: 1618: 1615: 1613: 1610: 1608: 1605: 1603: 1600: 1598: 1595: 1593: 1590: 1588: 1585: 1583: 1580: 1578: 1575: 1573: 1572:Participatory 1570: 1568: 1565: 1563: 1560: 1558: 1555: 1553: 1550: 1548: 1545: 1543: 1540: 1538: 1535: 1533: 1530: 1528: 1525: 1523: 1520: 1518: 1515: 1513: 1510: 1508: 1505: 1503: 1500: 1498: 1495: 1493: 1490: 1488: 1485: 1483: 1480: 1478: 1475: 1473: 1470: 1468: 1465: 1463: 1462:For Six Sigma 1460: 1458: 1455: 1453: 1450: 1448: 1445: 1443: 1440: 1438: 1435: 1433: 1430: 1426: 1423: 1422: 1421: 1418: 1416: 1413: 1411: 1408: 1406: 1405:Domain-driven 1403: 1401: 1398: 1394: 1393:architect-led 1391: 1390: 1389: 1386: 1384: 1381: 1379: 1376: 1372: 1369: 1368: 1367: 1364: 1362: 1359: 1357: 1354: 1352: 1349: 1347: 1344: 1342: 1339: 1337: 1336:Configuration 1334: 1332: 1329: 1327: 1324: 1322: 1319: 1317: 1314: 1312: 1309: 1307: 1304: 1302: 1301:Brainstorming 1299: 1297: 1294: 1292: 1289: 1287: 1284: 1283: 1280: 1273: 1269: 1255: 1252: 1250: 1247: 1245: 1242: 1240: 1237: 1235: 1234:Social design 1232: 1230: 1227: 1225: 1222: 1220: 1217: 1215: 1212: 1210: 1207: 1205: 1202: 1200: 1197: 1195: 1192: 1188: 1185: 1183: 1180: 1178: 1175: 1174: 1173: 1170: 1168: 1165: 1163: 1160: 1158: 1157:Filter design 1155: 1153: 1150: 1148: 1145: 1143: 1140: 1138: 1135: 1133: 1132:Boiler design 1130: 1128: 1125: 1123: 1120: 1119: 1117: 1115: 1106: 1100: 1097: 1095: 1092: 1090: 1087: 1085: 1084:Scenic design 1082: 1080: 1077: 1075: 1072: 1070: 1069:Floral design 1067: 1063: 1060: 1058: 1055: 1054: 1053: 1050: 1048: 1044: 1041: 1039: 1036: 1035: 1033: 1031: 1025: 1019: 1016: 1014: 1011: 1009: 1006: 1004: 1001: 999: 996: 994: 991: 989: 986: 984: 981: 977: 974: 972: 969: 968: 967: 964: 960: 957: 956: 955: 952: 951: 949: 947: 941: 935: 932: 930: 927: 925: 922: 920: 917: 915: 912: 910: 907: 905: 902: 900: 897: 893: 890: 889: 888: 885: 883: 880: 878: 875: 873: 870: 868: 865: 863: 860: 859: 857: 855: 849: 843: 840: 838: 835: 833: 830: 826: 823: 822: 821: 818: 816: 813: 809: 806: 805: 804: 801: 799: 796: 794: 791: 789: 786: 782: 779: 778: 777: 776:Garden design 774: 772: 769: 767: 764: 760: 759:Passive solar 757: 756: 755: 752: 750: 747: 745: 742: 741: 739: 737: 734:Environmental 731: 725: 722: 720: 717: 715: 711: 708: 706: 702: 699: 697: 696:Retail design 694: 692: 689: 687: 684: 682: 679: 677: 674: 672: 669: 665: 662: 660: 657: 655: 652: 651: 650: 647: 645: 642: 640: 637: 635: 632: 630: 627: 625: 622: 621: 619: 617: 614:Communication 611: 607: 600: 596: 590: 587: 585: 582: 581: 578: 574: 567: 562: 560: 555: 553: 548: 547: 544: 538:at Wiktionary 537: 536: 530: 526: 524: 521: 520: 516: 501: 497: 493: 489: 482: 479: 468: 464: 460: 456: 449: 446: 443: 438: 435: 422: 418: 412: 409: 397: 393: 389: 383: 380: 368: 364: 357: 354: 342: 341: 336: 329: 326: 323:, April 1993. 322: 316: 313: 302:on 2022-12-23 301: 297: 291: 288: 285: 280: 277: 266: 262: 258: 254: 247: 244: 237: 233: 230: 228: 225: 223: 220: 218: 215: 213: 210: 208: 205: 204: 200: 198: 196: 192: 191:Pontiac Aztek 188: 187: 182: 180: 176: 172: 169: 164: 162: 157: 153: 149: 144: 141: 137: 132: 129: 125: 121: 116: 112: 108: 103: 101: 97: 89: 88:Pontiac Aztek 84: 77: 73: 66: 64: 62: 57: 54: 50: 46: 36: 29: 27: 25: 21: 2228:STEAM fields 2198:Lean startup 2183:Indie design 1999: 1966:Intellectual 1718:Value-driven 1696:Use-centered 1602:Regenerative 1582:Policy-based 1542:Mind mapping 1447:For assembly 1388:Design–build 1306:By committee 1305: 1291:Adaptive web 1089:Sound design 1047:glass design 1045: / 1030:applied arts 971:Level design 842:Urban design 793:Hotel design 744:Architecture 719:Video design 712: / 703: / 671:Illustration 664:Print design 634:Brand design 534: 503:. Retrieved 491: 481: 470:. Retrieved 458: 448: 437: 425:. Retrieved 421:The Guardian 420: 411: 399:. Retrieved 396:The Guardian 395: 382: 370:. Retrieved 367:The Guardian 366: 356: 344:. Retrieved 338: 328: 315: 304:. Retrieved 300:the original 290: 279: 268:. Retrieved 256: 246: 184: 183: 173: 165: 145: 133: 124:DR Class 118 119: 111:East Germany 107:DR Class 119 104: 93: 76:DR Class 119 58: 41: 19: 18: 2266:competition 2223:Slow design 2173:Form factor 2143:Concept art 1952:HTML editor 1632:Sustainable 1467:For testing 1311:By contract 1167:Work design 1142:Drug design 1114:engineering 988:Icon design 966:Game design 944:Interaction 892:Sustainable 825:Sustainable 714:Type design 691:Photography 686:News design 629:Book design 624:Advertising 603:Disciplines 388:Cobain, Ian 195:focus group 2442:Categories 2426:Wiktionary 2419:Wikisource 2373:technology 2343:principles 1942:Storyboard 1768:management 1763:leadership 1728:Privacy by 1567:Parametric 1537:Metadesign 1507:Integrated 1497:High-level 1482:Generative 1477:Functional 1346:Continuous 1341:Contextual 1316:C-K theory 1276:Approaches 1018:Web design 872:CMF design 852:Industrial 710:Typography 505:2023-04-22 472:2023-04-22 427:2 December 401:2 December 372:2 December 346:2 December 306:2013-01-09 270:2023-04-22 238:References 227:Tiger team 212:Groupthink 175:Apple Inc. 102:archives. 53:autocratic 24:pejorative 2412:Wikiquote 2398:Wikibooks 2348:rationale 2313:knowledge 2288:education 2208:OODA loop 1932:Prototype 1917:Flowchart 1875:Blueprint 1743:computing 1679:Universal 1627:Safe-life 1532:Low-level 1522:Iterative 1502:Inclusive 1487:Geodesign 1378:Defensive 1326:Co-design 1296:Affective 500:0190-8286 467:0362-4331 265:0362-4331 148:Liverpool 45:consensus 2405:Wikinews 2338:paradigm 2318:language 2298:engineer 2293:elements 2283:director 1968:property 1813:thinking 1803:strategy 1788:research 1748:controls 1706:Empathic 1637:Systemic 1597:Rational 1552:New Wave 1366:Critical 589:Designer 201:See also 67:Examples 2391:Commons 2363:studies 2308:history 2276:student 2261:classic 2249:Design 2163:.design 2091:Graphex 1793:science 1783:pattern 1778:methods 1753:culture 1736:Design 1547:Modular 1400:Diffuse 1321:Closure 1043:Ceramic 701:Signage 584:Outline 156:Whiston 152:Prescot 115:Comecon 2453:Design 2368:studio 2353:review 2333:museum 2256:change 2064:Awards 1937:Sketch 1922:Mockup 1902:CAutoD 1843:Awards 1808:theory 1798:sprint 1773:marker 1738:choice 1112:& 1110:design 946:design 854:design 736:design 654:Motion 616:design 573:Design 498:  465:  263:  100:USENET 2251:brief 2138:Agile 1857:Tools 1834:Tools 1472:For X 1108:Other 1027:Other 140:CCITT 22:is a 2328:load 2323:life 2303:firm 1890:CAID 1758:flow 1674:TRIZ 1562:Open 496:ISSN 463:ISSN 429:2017 403:2017 374:2017 348:2017 261:ISSN 166:The 1885:CAD 1865:AAD 1642:SOD 1622:RWD 1492:HCD 959:EED 808:EID 163:". 2444:: 494:. 490:. 461:. 457:. 419:. 394:. 365:. 337:. 259:. 255:. 154:, 565:e 558:t 551:v 508:. 475:. 431:. 405:. 376:. 350:. 309:. 273:. 159:"

Index

pejorative

consensus
design by dictator
autocratic
too many cooks spoil the broth

DR Class 119

Pontiac Aztek
information and communications technology
USENET
DR Class 119
East Germany
Comecon
DR Class 118
planned economy
Asynchronous Transfer Mode
CCITT
Liverpool
Prescot
Whiston
The Prescot School
F-35 Joint Strike Fighter
Apple Inc.
remote controls
The Washington Post
Pontiac Aztek
focus group
Condorcet paradox

Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.

↑