Knowledge

Gelatin silver print

Source đź“ť

168: 329:. They have been made for both contact printing and enlarging purposes by modifying the paper's light sensitivity. A brief exposure to a negative produces a latent image, which is then made visible by a developing agent. The image is then made permanent by treatment in a photographic fixer, which removes the remaining light sensitive silver halides. And finally, a water bath clears the fixer from the print. The final image consists of small particles of silver bound in a layer of gelatin. This gelatin image layer is only one of the four layers found in a typical gelatin silver print, which typically include the overcoat, image layer, baryta, and paper support. 404:, is able to remove the unexposed silver halide by forming a water-soluble complex with it. And finally, a water wash sometimes preceded by a washing aid removes the fixer from the print, leaving an image composed of silver particles held in the clear gelatin image layer. Toning is sometimes used for permanence or aesthetic purposes and follows the fixing step. Selenium, gold, and sulfur toners are the most common and act by either partially converting the silver to another compound (such as 282: 2558: 2569: 489: 36: 192:
winter evenings to be developed, fixed and printed. Exposure times were long compared to the wet process, but that required much more time to prepare a plate before exposure, to develop, fix and wash the negative soon after, with chemicals and a portable dark room that had to be dragged around and installed.
337:
A gelatin silver print is composed of four layers: paper base, baryta, gelatin binder, and a protective gelatin layer or overcoat. The multi-layer structure of the gelatin silver print and the sensitivity of the silver imaging salts require specialized coating equipment and fastidious manufacturing
466:
All gelatin silver photographic materials are subject to deterioration. The silver particles that comprise the image are susceptible to oxidation, leading to yellowing and fading of the image. Poor processing can also result in various forms of image degradation, due to residual silver-thiosulfate
353:
The third layer is the gelatin binder that holds the silver grains of the photographic image. Gelatin has many qualities that make it an ideal photographic binder. Among these are toughness and abrasion resistance when dry and its ability to swell and allow the penetration of processing solutions.
258:
Although the baryta layer plays an important part in the manufacture of smooth and glossy prints, the baryta paper of the 1890s did not produce the lustrous or glossy print surface that became the standard for fine art photography in the twentieth century. Matting agents, textured papers, and thin
191:
In the 1860s, the dry plate collodion process (with gelatin or albumen) was described as advantageous for outdoor photography, especially when a large amount of shots in different places were required, or when there was little time. Negatives taken during summer outings could wait until the long
349:
The second layer is the baryta, a white opaque coating made primarily from gelatin and barium sulfate. Its purpose is to cover the paper fibers and form a smooth surface upon which to coat the gelatin. Surface textures are created by a variety of textured felts used in the drying of the paper,
341:
The paper base or support serves as the substrate onto which the subsequent layers are attached. Paper is in many ways an ideal support: it is lightweight, flexible, and strong enough to withstand both wet processing and regular handling. The photographic paper base must be free of photoactive
238:
Gelatin silver print paper was made as early as 1874 on a commercial basis, but it was poor quality because the dry-plate emulsion was coated onto the paper only as an afterthought. Coating machines for the production of continuous rolls of sensitized paper were in use by the mid-1880s, though
439:
silver halides in the presence of free silver atoms. An 'amplification' of the latent image occurs as the silver halides near the free silver atom are reduced to metallic silver. The strength, temperature and time for which the developer is allowed to act allow the photographer to control the
210:
discovered a method of hardening the emulsion, making it more resistant to friction. In 1878, Bennett discovered that by prolonged heating, the sensitivity of the emulsion could be greatly increased. While dry plate processes could previous only be used with long exposures, Bennett's plates
393:, which transforms the silver halide particles that have a latent image speck on them into metallic silver. Now the image is visible, but the remaining unexposed silver halide must still be removed to make the image permanent. But first the print is placed into the 611:
A guide to photography; containing simple and practical details of the latest and most improved processes for the production of pictures by the chemical action of light, including photogenic drawing, calotype, Daguerreotype, ... illustrated with drawings on
354:
The fourth layer, called the overcoat, supercoat, or topcoat, is a very thin layer of hardened gelatin that is applied on top of the gelatin binder. It acts as a protective layer, providing superior abrasion resistance to the print surface.
467:
complexes. Toning increases the stability of the silver image by coating the silver image with a less easily oxidized metal such as gold, or by converting portions of the silver image particles into more stable compounds, such as
140:, and is the fundamental chemical process for modern analog color photography. As such, films and printing papers available for analog photography rarely rely on any other chemical process to record an image. A suspension of 800:
Gray, G. G. (1987). From Papyrus to RC Paper: History of Paper Supports. Pioneers of Photography: Their Achievements in Science and Technology. E. Ostroff. Springfield, VA,: The Society for Imaging Science and Technology:
2594: 167: 587:. The latent image is formed by the DNA or RNA or protein molecules (i.e. the reduced silver selectively precipitates onto those molecules). It is known for being nearly as sensitive as 567:
Ilford, in collaboration with Metro Imaging, London adapted their FB Galerie emulsion paper and its light sensitivity so that it would be receptive to full spectrum RGB laser channels.
346:. In order to obtain this purity, the paper was originally made from cotton rags, though after World War I there was a transition to purified wood pulp, which has been used ever since. 374:. Exposure to a negative is typically done with an enlarger, although contact printing was also popular, particularly among amateurs in the early twentieth century and among users of 156:-coated paper. These light-sensitive materials are stable under normal keeping conditions and are able to be exposed and processed even many years after their manufacture. The "dry 2526: 2468: 382:. The exposure is the greatest in areas of the print corresponding to clear parts of the negatives, which become the shadows or high density areas of the print. 2516: 2463: 2243: 556:
By adapting a large format paper processor in conjunction with the manufacturers, McLeod's innovation led the way for the possibility of producing large
1113: 431:. This latent image is relatively stable and will persist for some months without degradation provided the film is kept dark and cool. Films are 263:
produced a low-gloss and textured appearance. The higher gloss papers first became popular in the 1920s and 30s as photography transitioned from
1219: 971: 837: 506: 53: 2521: 378:. Wherever the light strikes the paper the silver halides form small specks of silver metal on their surface by the chemical process of 2458: 2005: 818: 788: 797:
Eaton, G. T. (1965). Photographic chemistry in black-and-white and color photography. Hastings-on-Hudson, N.Y.,: Morgan & Morgan.
2214: 642: 626: 528: 119: 609: 100: 2181: 366:
matrix holding the light-sensitive silver halides. For gelatin silver prints, these silver halides are typically combinations of
325:
The gelatin silver print or gelatin developing out paper (DOP) is a monochrome imaging process based on the light sensitivity of
72: 2500: 2478: 1967: 2146: 1634: 1421: 865: 510: 57: 79: 389:, as it forms an invisible image in the paper that is subsequently made visible by development. The paper is placed in the 2055: 1987: 1740: 1267: 900: 2488: 2483: 939: 2493: 1765: 1272: 224: 86: 1907: 541:
Also known as digital bromides, black and white silver gelatin prints imaged via digital output devices such as the
278:
Research over the last 125 years has led to current materials that exhibit low grain and high sensitivity to light.
2219: 1810: 1108: 2186: 2136: 2096: 1949: 1835: 1785: 1482: 1426: 1282: 1039: 964: 212: 68: 913: 239:
widespread adoption of gelatin silver print materials did not occur until the 1890s. The earliest papers had no
2392: 1982: 1715: 1507: 853:
Wentzel, F. and L. W. Sipley (1960). Memoirs of a photochemist. Philadelphia: American Museum of Photography.
221:
developed a machine to coat glass plates in 1879 and founded the Eastman Film and Dry Plate Company in 1881.
2352: 2156: 2060: 1924: 1775: 1612: 1517: 1160: 1049: 847: 703: 659: 499: 350:
calendaring, and embossing before or after application of the baryta layer depending on the desired effect.
185: 46: 2573: 2412: 2387: 2191: 2176: 2111: 2091: 1997: 1919: 1750: 1674: 1669: 1644: 1572: 1562: 1512: 1502: 1436: 1399: 1327: 1302: 925: 427:
are exposed to light, a few atoms of free metallic silver are liberated. These free silver atoms form the
390: 379: 207: 2473: 2263: 2171: 2166: 2151: 2141: 2116: 2101: 1962: 1895: 1865: 1825: 1735: 1725: 1567: 1446: 1409: 1332: 456: 2020: 298:
1885 – Coating machines first used in gelatin DOP manufacture for manufacture of continuous rolls
2562: 2161: 2131: 2126: 2121: 2106: 1760: 1684: 1654: 1607: 1552: 1542: 1467: 1292: 1239: 957: 196: 281: 2258: 2231: 2201: 1855: 1770: 1679: 1557: 1537: 1527: 1522: 1492: 1384: 1297: 1125: 1120: 661:
Dry Plate Photography: Or, The Tannin Process, Made Simple and Practical for Operators and Amateurs
580: 375: 591:, the "gold standard" technique, but one not widely used due to the use of radioactive materials. 397:, which stops development and prevents the developer from contaminating the next bath: the fixer. 93: 2407: 2065: 2015: 1840: 1700: 1659: 1639: 1577: 1532: 1497: 1472: 1034: 999: 896: 886: 753: 675: 561: 557: 452: 401: 157: 164:
dominant from the 1850s–1880s, which had to be exposed and developed immediately after coating.
2372: 2308: 2295: 2226: 1890: 1815: 1805: 1795: 1780: 1649: 1617: 1477: 1312: 1083: 860: 833: 814: 784: 745: 308: 161: 463:
in clean water. The final image consists of metallic silver embedded in the gelatin coating.
2599: 2432: 2377: 2335: 2320: 2303: 2273: 2268: 1912: 1870: 1820: 1587: 1547: 1487: 1342: 882: 737: 228: 338:
technique to produce a consistent product that is free of impurities harmful to the image.
2437: 2340: 1957: 1710: 1592: 1287: 1234: 1229: 1197: 1182: 1140: 588: 468: 424: 405: 371: 272: 232: 944: 2209: 2035: 1977: 1745: 1431: 1337: 1322: 1317: 1212: 1024: 1014: 1004: 777: 472: 420: 409: 367: 218: 2588: 2362: 2330: 2315: 2280: 2040: 1885: 1850: 1800: 1441: 1414: 1394: 1362: 1307: 807: 326: 181: 757: 2538: 2427: 2422: 2417: 2367: 2253: 2248: 1902: 1627: 1597: 1379: 1374: 1357: 1202: 1029: 1019: 1009: 576: 550: 386: 264: 728:
Bassam, Brant J (25 October 2007). "Silver staining DNA in polyacrylamide gels".
2543: 2402: 2397: 2325: 2238: 2075: 2050: 1880: 1875: 1860: 1720: 1602: 1582: 1451: 1352: 1244: 1207: 1177: 1130: 1098: 1093: 1059: 980: 488: 137: 35: 2533: 2045: 2025: 1939: 1929: 1790: 1755: 1730: 1664: 1404: 1389: 1369: 1251: 1187: 1103: 1054: 447:
Once development is complete, the undeveloped silver salts must be removed by
295:
1874 – First commercial production of gelatin developing out paper (DOP)
260: 2442: 2382: 2010: 1972: 1934: 1830: 1192: 1155: 1150: 1135: 1088: 1076: 394: 268: 749: 741: 830:
The chemistry of photography : from classical to digital technologies
188:
wrote about positive proofs of negatives on dry gelatine plates in 1850.
2030: 1845: 1347: 1277: 1145: 1071: 1066: 584: 546: 195:
The introduction of the gelatin silver process is commonly attributed to
17: 704:"A Guide to Fiber-Base Gelatin Silver Print Condition and Deterioration" 2070: 1224: 1170: 513: in this section. Unsourced material may be challenged and removed. 412:) or partially replacing the silver with another metal (such as gold). 363: 343: 248: 145: 848:
A Guide to Fiber-Base Gelatin Silver Print Condition and Deterioration
1622: 1044: 994: 893:
From Dry Plates To Ektachrome Film: A Story of Photographic Research
850:. George Eastman House, International Museum of Photography and Film. 301:
1894 – Baryta layer added to commercial gelatin DOP manufacture
244: 240: 149: 141: 709:. George Eastman House, International Museum of Photography and Film 579:
is utilized in molecular biology to visualize DNA or proteins after
304:
1920s – Increasing popularity of glossy and semi-gloss papers
2285: 1705: 1165: 542: 436: 280: 252: 166: 153: 148:
is coated onto a support such as glass, flexible plastic or film,
809:
The manual of photography : photographic and digital imaging
953: 949: 676:"An Experiment With Gelatino Bromide - [PDF Document]" 482: 136:
is the most commonly used chemical process in black-and-white
29: 875:, W.A. Burton, (Piper & Carter, London 2nd Edition, 1879) 918: 644:
The Civil engineer & [and] architect's journal
615:. Horne, Thornthwaite, and Wood; R. Groombridge and Sons. 362:
Before a paper is exposed, the image layer is a clear
628:
The Chemist: A Monthly Journal of Chemical Philosophy
440:
contrast of the final image. The development is then
285:
Childe Hassam by James W. Porter, 1913, silver print
2595:
Photographic processes dating from the 19th century
2509: 2451: 2351: 2294: 2200: 2084: 1996: 1948: 1693: 1460: 1260: 987: 60:. Unsourced material may be challenged and removed. 806: 776: 783:(2 ed.). Boston: New York Graphic Society. 444:by neutralizing the developer in a second bath. 247:coating became a commercial operation, first in 965: 8: 813:(9th ed.). Boston, Mass.: Focal Press. 549:, have been developed for the art market by 160:" gelatin process was an improvement on the 2517:Conservation and restoration of photographs 919:Graphics Atlas @ Image Permanence Institute 914:Notes on Photographs @ George Eastman House 311:eclipses black and white for the first time 243:layer, and it was not until the 1890s that 2244:Comparison of digital and film photography 972: 958: 950: 924:Mark Scholer Pedersen (20 February 2010). 575:An essentially identical procedure called 2469:Photographs considered the most important 940:Early Photographic Processes – Dry Plates 779:The print: Contact printing and enlarging 529:Learn how and when to remove this message 459:, and then the negative or print must be 171:A gelatin silver print of a Hawaiian girl 120:Learn how and when to remove this message 697: 695: 180:Gelatine was used to copy the images of 600: 385:This process is the formation of the 215:turning into a very common practice. 7: 608:THORNTHWAITE, William Henry (1845). 511:adding citations to reliable sources 259:baryta layers that were not heavily 58:adding citations to reliable sources 2464:Museums devoted to one photographer 201:An Experiment with Gelatino-Bromide 2006:Timeline of photography technology 945:Contemporary dry plate photography 926:"Silver gelatin dry plate process" 25: 857:A Silver Salted Gelatine Emulsion 2567: 2557: 2556: 487: 251:, in 1894, and then taken up by 34: 2568: 498:needs additional citations for 479:Digital silver gelatin printing 45:needs additional citations for 866:British Journal of Photography 564:(FB) black and white prints. 275:, and "straight" photography. 1: 2056:Painted photography backdrops 1988:Golden triangle (composition) 1268:35 mm equivalent focal length 928:. alternative photography.com 901:Ziff-Davis Publishing Company 873:The ABC of Modern Photography 199:, author of the 1871 article 415:When small crystals (called 342:impurities such as iron and 1766:Intentional camera movement 805:Jacobson, Ralph E. (2000). 225:William de Wiveleslie Abney 162:collodion wet-plate process 2616: 2459:Most expensive photographs 1811:Multi-exposure HDR capture 419:) of silver salts such as 231:improved the formula with 2552: 213:instantaneous photography 2393:Digital image processing 2501:Photography periodicals 2061:Photography and the law 832:. Cambridge: RSC Publ. 702:Weaver, Gawain (2008). 186:Alphonse Louis Poitevin 2413:Gelatin silver process 1437:Science of photography 1422:Photographic processes 1400:Perspective distortion 879:History of Photography 828:Rogers, David (2007). 742:10.1038/nprot.2007.330 286: 208:Charles Harper Bennett 172: 134:gelatin silver process 69:"Gelatin silver print" 1866:Schlieren photography 1410:Photographic printing 1333:Exposure compensation 903:, New York, NY, 1961) 889:, Mineola, NY, 1945) 775:Adams, Ansel (1950). 658:Towler, John (1865). 457:ammonium thiosulphate 435:using solutions that 400:The fixer, typically 284: 170: 1655:Straight photography 1293:Chromatic aberration 869:, September 8, 1871) 571:In molecular biology 507:improve this article 376:large format cameras 358:Image and processing 197:Richard Leach Maddox 54:improve this article 27:Photographic process 2527:photographic plates 2202:Digital photography 1385:Hyperfocal distance 1298:Circle of confusion 581:gel electrophoresis 545:Lambda and the OcĂ© 453:sodium thiosulphate 211:attributed much to 2021:Autochrome Lumière 2016:Analog photography 1841:Pigeon photography 1635:Social documentary 1114:discontinued films 897:C. E. Kenneth Mees 887:Dover Publications 846:Weaver, G. (2008) 402:sodium thiosulfate 287: 173: 2582: 2581: 2373:Collodion process 2309:Chromogenic print 2296:Color photography 1806:Multiple exposure 1781:Lo-fi photography 1313:Color temperature 861:Richard L. Maddox 839:978-0-85404-273-9 736:(11): 2649–2654. 577:"silver staining" 539: 538: 531: 309:Color photography 130: 129: 122: 104: 16:(Redirected from 2607: 2571: 2570: 2560: 2559: 2433:Print permanence 2378:Cross processing 2336:CMYK color model 2321:Color management 2274:Foveon X3 sensor 2269:Three-CCD camera 1913:Miniature faking 1871:Sabattier effect 1488:Astrophotography 1343:Zebra patterning 974: 967: 960: 951: 936: 934: 933: 883:Josef Maria Eder 843: 824: 812: 794: 782: 762: 761: 730:Nature Protocols 725: 719: 718: 716: 714: 708: 699: 690: 689: 687: 686: 672: 666: 665: 655: 649: 648: 639: 633: 632: 623: 617: 616: 605: 534: 527: 523: 520: 514: 491: 483: 229:Josef Maria Eder 125: 118: 114: 111: 105: 103: 62: 38: 30: 21: 2615: 2614: 2610: 2609: 2608: 2606: 2605: 2604: 2585: 2584: 2583: 2578: 2548: 2505: 2447: 2438:Push processing 2354: 2347: 2341:RGB color model 2290: 2196: 2080: 1992: 1958:Diagonal method 1944: 1689: 1593:Photojournalism 1456: 1288:Black-and-white 1256: 1235:Slide projector 1230:Movie projector 1109:available films 983: 978: 931: 929: 923: 910: 840: 827: 821: 804: 791: 774: 771: 769:Further reading 766: 765: 727: 726: 722: 712: 710: 706: 701: 700: 693: 684: 682: 674: 673: 669: 657: 656: 652: 641: 640: 636: 625: 624: 620: 607: 606: 602: 597: 589:autoradiography 573: 535: 524: 518: 515: 504: 492: 481: 469:silver selenide 425:silver chloride 406:silver selenide 372:silver chloride 360: 335: 333:Layer structure 323: 318: 292: 273:photojournalism 233:silver chloride 178: 126: 115: 109: 106: 63: 61: 51: 39: 28: 23: 22: 15: 12: 11: 5: 2613: 2611: 2603: 2602: 2597: 2587: 2586: 2580: 2579: 2577: 2576: 2565: 2553: 2550: 2549: 2547: 2546: 2541: 2536: 2531: 2530: 2529: 2524: 2513: 2511: 2507: 2506: 2504: 2503: 2498: 2497: 2496: 2491: 2486: 2481: 2471: 2466: 2461: 2455: 2453: 2449: 2448: 2446: 2445: 2440: 2435: 2430: 2425: 2420: 2415: 2410: 2405: 2400: 2395: 2390: 2385: 2380: 2375: 2370: 2365: 2359: 2357: 2349: 2348: 2346: 2345: 2344: 2343: 2338: 2333: 2328: 2318: 2313: 2312: 2311: 2300: 2298: 2292: 2291: 2289: 2288: 2283: 2278: 2277: 2276: 2271: 2266: 2261: 2251: 2246: 2241: 2236: 2235: 2234: 2229: 2224: 2223: 2222: 2210:Digital camera 2206: 2204: 2198: 2197: 2195: 2194: 2189: 2184: 2179: 2174: 2169: 2164: 2159: 2154: 2149: 2144: 2139: 2134: 2129: 2124: 2119: 2114: 2109: 2104: 2099: 2094: 2088: 2086: 2082: 2081: 2079: 2078: 2073: 2068: 2063: 2058: 2053: 2048: 2043: 2038: 2036:Camera obscura 2033: 2028: 2023: 2018: 2013: 2008: 2002: 2000: 1994: 1993: 1991: 1990: 1985: 1980: 1978:Rule of thirds 1975: 1970: 1965: 1960: 1954: 1952: 1946: 1945: 1943: 1942: 1937: 1932: 1927: 1922: 1917: 1916: 1915: 1905: 1900: 1899: 1898: 1888: 1883: 1878: 1873: 1868: 1863: 1858: 1853: 1848: 1843: 1838: 1833: 1828: 1823: 1818: 1813: 1808: 1803: 1798: 1793: 1788: 1783: 1778: 1773: 1768: 1763: 1758: 1753: 1748: 1746:Harris shutter 1743: 1741:Hand-colouring 1738: 1733: 1728: 1723: 1718: 1713: 1708: 1703: 1697: 1695: 1691: 1690: 1688: 1687: 1682: 1677: 1672: 1667: 1662: 1657: 1652: 1647: 1642: 1637: 1632: 1631: 1630: 1620: 1615: 1610: 1605: 1600: 1595: 1590: 1585: 1580: 1575: 1570: 1565: 1560: 1555: 1550: 1545: 1540: 1535: 1530: 1525: 1520: 1515: 1510: 1505: 1500: 1495: 1490: 1485: 1480: 1475: 1470: 1464: 1462: 1458: 1457: 1455: 1454: 1449: 1444: 1439: 1434: 1432:Red-eye effect 1429: 1424: 1419: 1418: 1417: 1407: 1402: 1397: 1392: 1387: 1382: 1377: 1372: 1367: 1366: 1365: 1360: 1350: 1345: 1340: 1338:Exposure value 1335: 1330: 1325: 1323:Depth of focus 1320: 1318:Depth of field 1315: 1310: 1305: 1300: 1295: 1290: 1285: 1280: 1275: 1270: 1264: 1262: 1258: 1257: 1255: 1254: 1249: 1248: 1247: 1237: 1232: 1227: 1222: 1217: 1216: 1215: 1210: 1205: 1200: 1195: 1190: 1185: 1175: 1174: 1173: 1168: 1163: 1158: 1153: 1148: 1143: 1138: 1133: 1123: 1118: 1117: 1116: 1111: 1106: 1101: 1096: 1091: 1081: 1080: 1079: 1074: 1064: 1063: 1062: 1057: 1052: 1047: 1042: 1037: 1032: 1027: 1022: 1017: 1012: 1007: 1002: 991: 989: 985: 984: 979: 977: 976: 969: 962: 954: 948: 947: 942: 937: 921: 916: 909: 908:External links 906: 905: 904: 890: 876: 870: 854: 851: 844: 838: 825: 820:978-0240515748 819: 802: 798: 795: 790:978-0821207185 789: 770: 767: 764: 763: 720: 691: 667: 650: 634: 618: 599: 598: 596: 593: 572: 569: 551:Ilford Imaging 537: 536: 519:September 2020 495: 493: 486: 480: 477: 473:silver sulfide 421:silver bromide 410:silver sulfide 368:silver bromide 359: 356: 334: 331: 327:silver halides 322: 319: 317: 314: 313: 312: 307:1960s – 305: 302: 299: 296: 291: 288: 219:George Eastman 182:Daguerreotypes 177: 174: 128: 127: 110:September 2008 42: 40: 33: 26: 24: 14: 13: 10: 9: 6: 4: 3: 2: 2612: 2601: 2598: 2596: 2593: 2592: 2590: 2575: 2566: 2564: 2555: 2554: 2551: 2545: 2542: 2540: 2537: 2535: 2532: 2528: 2525: 2523: 2520: 2519: 2518: 2515: 2514: 2512: 2508: 2502: 2499: 2495: 2492: 2490: 2487: 2485: 2482: 2480: 2477: 2476: 2475: 2474:Photographers 2472: 2470: 2467: 2465: 2462: 2460: 2457: 2456: 2454: 2450: 2444: 2441: 2439: 2436: 2434: 2431: 2429: 2426: 2424: 2421: 2419: 2416: 2414: 2411: 2409: 2406: 2404: 2401: 2399: 2396: 2394: 2391: 2389: 2386: 2384: 2381: 2379: 2376: 2374: 2371: 2369: 2366: 2364: 2363:Bleach bypass 2361: 2360: 2358: 2356: 2350: 2342: 2339: 2337: 2334: 2332: 2331:primary color 2329: 2327: 2324: 2323: 2322: 2319: 2317: 2316:Reversal film 2314: 2310: 2307: 2306: 2305: 2302: 2301: 2299: 2297: 2293: 2287: 2284: 2282: 2281:Image sharing 2279: 2275: 2272: 2270: 2267: 2265: 2262: 2260: 2257: 2256: 2255: 2252: 2250: 2247: 2245: 2242: 2240: 2237: 2233: 2230: 2228: 2225: 2221: 2218: 2217: 2216: 2213: 2212: 2211: 2208: 2207: 2205: 2203: 2199: 2193: 2190: 2188: 2185: 2183: 2182:United States 2180: 2178: 2175: 2173: 2170: 2168: 2165: 2163: 2160: 2158: 2155: 2153: 2150: 2148: 2145: 2143: 2140: 2138: 2135: 2133: 2130: 2128: 2125: 2123: 2120: 2118: 2115: 2113: 2110: 2108: 2105: 2103: 2100: 2098: 2095: 2093: 2090: 2089: 2087: 2083: 2077: 2074: 2072: 2069: 2067: 2064: 2062: 2059: 2057: 2054: 2052: 2049: 2047: 2044: 2042: 2041:Daguerreotype 2039: 2037: 2034: 2032: 2029: 2027: 2024: 2022: 2019: 2017: 2014: 2012: 2009: 2007: 2004: 2003: 2001: 1999: 1995: 1989: 1986: 1984: 1981: 1979: 1976: 1974: 1971: 1969: 1966: 1964: 1961: 1959: 1956: 1955: 1953: 1951: 1947: 1941: 1938: 1936: 1933: 1931: 1928: 1926: 1923: 1921: 1918: 1914: 1911: 1910: 1909: 1906: 1904: 1901: 1897: 1894: 1893: 1892: 1889: 1887: 1886:Stopping down 1884: 1882: 1879: 1877: 1874: 1872: 1869: 1867: 1864: 1862: 1859: 1857: 1854: 1852: 1851:Rephotography 1849: 1847: 1844: 1842: 1839: 1837: 1834: 1832: 1829: 1827: 1824: 1822: 1819: 1817: 1814: 1812: 1809: 1807: 1804: 1802: 1799: 1797: 1794: 1792: 1789: 1787: 1786:Long-exposure 1784: 1782: 1779: 1777: 1774: 1772: 1769: 1767: 1764: 1762: 1759: 1757: 1754: 1752: 1749: 1747: 1744: 1742: 1739: 1737: 1734: 1732: 1729: 1727: 1724: 1722: 1719: 1717: 1714: 1712: 1709: 1707: 1704: 1702: 1699: 1698: 1696: 1692: 1686: 1683: 1681: 1678: 1676: 1673: 1671: 1668: 1666: 1663: 1661: 1658: 1656: 1653: 1651: 1648: 1646: 1643: 1641: 1638: 1636: 1633: 1629: 1626: 1625: 1624: 1621: 1619: 1616: 1614: 1611: 1609: 1606: 1604: 1601: 1599: 1596: 1594: 1591: 1589: 1586: 1584: 1581: 1579: 1576: 1574: 1571: 1569: 1566: 1564: 1561: 1559: 1556: 1554: 1551: 1549: 1546: 1544: 1541: 1539: 1536: 1534: 1531: 1529: 1526: 1524: 1521: 1519: 1516: 1514: 1511: 1509: 1506: 1504: 1501: 1499: 1496: 1494: 1491: 1489: 1486: 1484: 1483:Architectural 1481: 1479: 1476: 1474: 1471: 1469: 1466: 1465: 1463: 1459: 1453: 1450: 1448: 1445: 1443: 1442:Shutter speed 1440: 1438: 1435: 1433: 1430: 1428: 1425: 1423: 1420: 1416: 1413: 1412: 1411: 1408: 1406: 1403: 1401: 1398: 1396: 1395:Metering mode 1393: 1391: 1388: 1386: 1383: 1381: 1378: 1376: 1373: 1371: 1368: 1364: 1361: 1359: 1356: 1355: 1354: 1351: 1349: 1346: 1344: 1341: 1339: 1336: 1334: 1331: 1329: 1326: 1324: 1321: 1319: 1316: 1314: 1311: 1309: 1308:Color balance 1306: 1304: 1301: 1299: 1296: 1294: 1291: 1289: 1286: 1284: 1281: 1279: 1276: 1274: 1273:Angle of view 1271: 1269: 1266: 1265: 1263: 1259: 1253: 1250: 1246: 1243: 1242: 1241: 1238: 1236: 1233: 1231: 1228: 1226: 1223: 1221: 1220:Manufacturers 1218: 1214: 1211: 1209: 1206: 1204: 1201: 1199: 1196: 1194: 1191: 1189: 1186: 1184: 1181: 1180: 1179: 1176: 1172: 1169: 1167: 1164: 1162: 1159: 1157: 1154: 1152: 1149: 1147: 1144: 1142: 1139: 1137: 1134: 1132: 1129: 1128: 1127: 1124: 1122: 1119: 1115: 1112: 1110: 1107: 1105: 1102: 1100: 1097: 1095: 1092: 1090: 1087: 1086: 1085: 1082: 1078: 1075: 1073: 1070: 1069: 1068: 1065: 1061: 1058: 1056: 1053: 1051: 1048: 1046: 1043: 1041: 1038: 1036: 1033: 1031: 1028: 1026: 1023: 1021: 1018: 1016: 1013: 1011: 1008: 1006: 1003: 1001: 998: 997: 996: 993: 992: 990: 986: 982: 975: 970: 968: 963: 961: 956: 955: 952: 946: 943: 941: 938: 927: 922: 920: 917: 915: 912: 911: 907: 902: 898: 894: 891: 888: 884: 880: 877: 874: 871: 868: 867: 862: 858: 855: 852: 849: 845: 841: 835: 831: 826: 822: 816: 811: 810: 803: 799: 796: 792: 786: 781: 780: 773: 772: 768: 759: 755: 751: 747: 743: 739: 735: 731: 724: 721: 705: 698: 696: 692: 681: 680:vdocuments.mx 677: 671: 668: 663: 662: 654: 651: 647:. Kent. 1850. 646: 645: 638: 635: 630: 629: 622: 619: 614: 613: 604: 601: 594: 592: 590: 586: 582: 578: 570: 568: 565: 563: 559: 554: 552: 548: 544: 533: 530: 522: 512: 508: 502: 501: 496:This section 494: 490: 485: 484: 478: 476: 474: 470: 464: 462: 458: 454: 450: 445: 443: 438: 434: 430: 426: 422: 418: 413: 411: 407: 403: 398: 396: 392: 388: 383: 381: 377: 373: 369: 365: 357: 355: 351: 347: 345: 339: 332: 330: 328: 320: 315: 310: 306: 303: 300: 297: 294: 293: 289: 283: 279: 276: 274: 270: 266: 262: 256: 254: 250: 246: 242: 236: 234: 230: 226: 222: 220: 216: 214: 209: 204: 202: 198: 193: 189: 187: 183: 175: 169: 165: 163: 159: 155: 151: 147: 143: 139: 135: 124: 121: 113: 102: 99: 95: 92: 88: 85: 81: 78: 74: 71: â€“  70: 66: 65:Find sources: 59: 55: 49: 48: 43:This article 41: 37: 32: 31: 19: 2539:Polaroid art 2428:K-14 process 2423:Instant film 2418:Gum printing 2368:C-41 process 2353:Photographic 2254:Image sensor 2249:Film scanner 1903:Sun printing 1836:Print toning 1628:space selfie 1598:Pictorialism 1528:Ethnographic 1508:Conservation 1380:Guide number 1375:Focal length 930:. Retrieved 892: 878: 872: 864: 856: 829: 808: 778: 733: 729: 723: 711:. Retrieved 683:. Retrieved 679: 670: 664:. J.H. Ladd. 660: 653: 643: 637: 627: 621: 610: 603: 574: 566: 558:resin coated 555: 540: 525: 516: 505:Please help 500:verification 497: 465: 460: 448: 446: 441: 432: 429:latent image 428: 416: 414: 399: 387:latent image 384: 361: 352: 348: 340: 336: 324: 277: 265:pictorialism 257: 237: 223: 217: 205: 200: 194: 190: 184:by 1845 and 179: 133: 131: 116: 107: 97: 90: 83: 76: 64: 52:Please help 47:verification 44: 2544:Stereoscopy 2403:E-6 process 2398:Dye coupler 2326:color space 2239:Digiscoping 2232:camera back 2147:Philippines 2076:Visual arts 2066:Glass plate 2051:Heliography 1950:Composition 1925:Ultraviolet 1881:Stereoscopy 1876:Slow motion 1861:Scanography 1776:Kite aerial 1721:Contre-jour 1613:Post-mortem 1603:Pornography 1583:Neues Sehen 1518:Documentary 1452:Zone System 1427:Reciprocity 1353:Film format 1283:Backscatter 1261:Terminology 1131:beauty dish 1035:rangefinder 1000:light-field 981:Photography 562:fibre based 261:calendering 138:photography 2589:Categories 2534:Lomography 2355:processing 2304:Print film 2220:comparison 2187:Uzbekistan 2137:Luxembourg 2097:Bangladesh 2046:Dufaycolor 2026:Box camera 1983:Simplicity 1940:Zoom burst 1935:Xerography 1930:Vignetting 1920:Time-lapse 1908:Tilt–shift 1801:Mordançage 1791:Luminogram 1756:Holography 1751:High-speed 1731:Fill flash 1716:Burst mode 1694:Techniques 1675:Vernacular 1670:Underwater 1665:Toy camera 1645:Still life 1573:Monochrome 1563:High-speed 1513:Cloudscape 1503:Conceptual 1405:Photograph 1390:Lens flare 1370:Film speed 1252:Zone plate 1198:wide-angle 1183:long-focus 932:2017-08-30 713:30 October 685:2023-02-21 595:References 583:, usually 560:(RC) and 316:Technology 152:paper, or 80:newspapers 2479:Norwegian 2443:Stop bath 2388:Developer 2383:Cyanotype 2011:Ambrotype 1973:Lead room 1896:Slit-scan 1831:Photogram 1826:Panoramic 1736:Fireworks 1568:Landscape 1213:telephoto 1161:reflector 1156:monolight 1151:lens hood 1136:cucoloris 1077:safelight 988:Equipment 433:developed 395:stop bath 391:developer 380:reduction 269:modernism 255:by 1900. 206:In 1873, 144:salts in 18:Dry Plate 2563:Category 2259:CMOS APS 2157:Slovenia 2085:Regional 2031:Calotype 1968:Headroom 1846:Redscale 1761:Infrared 1711:Brenizer 1685:Wildlife 1608:Portrait 1553:Forensic 1543:Fine-art 1478:Aircraft 1468:Abstract 1348:F-number 1328:Exposure 1303:Clipping 1278:Aperture 1146:hot shoe 1072:enlarger 1067:Darkroom 758:22136479 750:18007600 585:SDS-PAGE 547:LightJet 321:Overview 290:Timeline 2600:Gelatin 2574:Outline 2510:Related 2192:Vietnam 2177:Ukraine 2112:Denmark 2092:Albania 2071:Tintype 1998:History 1963:Framing 1856:Rollout 1821:Panning 1771:Kirlian 1680:Wedding 1558:Glamour 1538:Fashion 1523:Eclipse 1493:Banquet 1415:Albumen 1225:Monopod 1203:fisheye 1171:softbox 1025:pinhole 1015:instant 1005:digital 631:. 1850. 442:stopped 364:gelatin 344:lignins 249:Germany 176:History 146:gelatin 94:scholar 2572:  2561:  2489:street 2484:Polish 2172:Turkey 2167:Taiwan 2152:Serbia 2142:Norway 2117:Greece 2102:Canada 1701:Afocal 1660:Street 1640:Sports 1623:Selfie 1578:Nature 1533:Erotic 1498:Candid 1473:Aerial 1461:Genres 1363:medium 1240:Tripod 1208:swivel 1121:Filter 1099:holder 1094:format 995:Camera 836:  817:  801:37-46. 787:  756:  748:  461:washed 449:fixing 437:reduce 417:grains 245:baryta 241:baryta 150:baryta 142:silver 96:  89:  82:  75:  67:  2494:women 2452:Lists 2408:Fixer 2286:Pixel 2215:D-SLR 2162:Sudan 2132:Korea 2127:Japan 2122:India 2107:China 1891:Strip 1816:Night 1796:Macro 1706:Bokeh 1650:Stock 1618:Ruins 1358:large 1188:prime 1166:snoot 1126:Flash 1104:stock 1045:still 1030:press 1020:phone 1010:field 754:S2CID 707:(PDF) 612:stone 543:Durst 267:into 253:Kodak 158:plate 154:resin 101:JSTOR 87:books 2522:film 2227:MILC 1726:ETTR 1588:Nude 1548:Fire 1447:Sync 1245:head 1193:zoom 1178:Lens 1141:gobo 1089:base 1084:Film 1060:view 834:ISBN 815:ISBN 785:ISBN 746:PMID 715:2009 423:and 370:and 227:and 132:The 73:news 2264:CCD 1055:toy 1050:TLR 1040:SLR 899:, ( 863:, ( 738:doi 553:. 509:by 471:or 455:or 451:in 408:or 56:by 2591:: 895:, 881:, 859:, 752:. 744:. 732:. 694:^ 678:. 475:. 271:, 235:. 203:. 973:e 966:t 959:v 935:. 885:( 842:. 823:. 793:. 760:. 740:: 734:2 717:. 688:. 532:) 526:( 521:) 517:( 503:. 123:) 117:( 112:) 108:( 98:· 91:· 84:· 77:· 50:. 20:)

Index

Dry Plate

verification
improve this article
adding citations to reliable sources
"Gelatin silver print"
news
newspapers
books
scholar
JSTOR
Learn how and when to remove this message
photography
silver
gelatin
baryta
resin
plate
collodion wet-plate process

Daguerreotypes
Alphonse Louis Poitevin
Richard Leach Maddox
Charles Harper Bennett
instantaneous photography
George Eastman
William de Wiveleslie Abney
Josef Maria Eder
silver chloride
baryta

Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.

↑