46:
2521:
2128:
2035:
1988:
7608:
317:
7618:
2404:) flow to convert the designers' intent into the design of the SoC. Throughout this conversion process, the design is analyzed with static timing modeling, simulation and other tools to ensure that it meets the specified operational parameters such as frequency, power consumption and dissipation, functional integrity (as described in the register transfer level code) and electrical integrity.
2334:
1229:
1306:
7628:
1430:(RTL) which defines the circuit behavior, or synthesized into RTL from a high level language through high-level synthesis. These elements are connected together in a hardware description language to create the full SoC design. The logic specified to connect these components and convert between possibly different interfaces provided by different vendors is called
440:
35:
1526:
FPGA prototypes, in contrast, use FPGAs directly to enable engineers to validate and test at, or close to, a system's full operating frequency with real-world stimuli. Tools such as Certus are used to insert probes in the FPGA RTL that make signals available for observation. This is used to debug
2407:
When all known bugs have been rectified and these have been re-verified and all physical design checks are done, the physical design files describing each layer of the chip are sent to the foundry's mask shop where a full set of glass lithographic masks will be etched. These are sent to a wafer
1522:
With high capacity and fast compilation time, simulation acceleration and emulation are powerful technologies that provide wide visibility into systems. Both technologies, however, operate slowly, on the order of MHz, which may be significantly slower – up to 100 times slower – than the SoC's
564:-powered computers, these were four discrete chips. The ARM7500 chip was their second-generation SoC, based on the ARM700, VIDC20 and IOMD controllers, and was widely licensed in embedded devices such as set-top-boxes, as well as later Acorn personal computers.
2436:
ASICs consume less power and are faster than FPGAs but cannot be reprogrammed and are expensive to manufacture. FPGA designs are more suitable for lower volume designs, but after enough units of production ASICs reduce the total cost of ownership.
1206:
Many SoC researchers consider NoC architectures to be the future of SoC design because they have been shown to efficiently meet power and throughput needs of SoC designs. Current NoC architectures are two-dimensional. 2D IC design has limited
2470:
may be too high in a SoC for a given purpose because functional components are too close together, and in an SiP heat will dissipate better from different functional modules since they are physically further apart.
2202:. Not every important computing activity in a SoC is performed in software running on on-chip processors, but scheduling can drastically improve performance of software-based tasks and other tasks involving
3171:
In interviews most of the interviewers are asking questions on "What is
Difference between Verification and Validation?" Many people use verification and validation interchangeably but both have different
1936:
In particular, most SoCs are in a small physical area or volume and therefore the effects of waste heat are compounded because there is little room for it to diffuse out of the system. Because of high
1613:
solutions are sought after in SoC design. Oftentimes the goals of optimizing some of these quantities are directly at odds, further adding complexity to design optimization of SoCs and introducing
1909:
of components. The power densities of high speed integrated circuits, particularly microprocessors and including SoCs, have become highly uneven. Too much waste heat can damage circuits and erode
1403:. HLS products called "algorithmic synthesis" allow designers to use C++ to model and synthesize system, circuit, software and verification levels all in one high level language commonly known to
1120:
has caused on-chip communication efficiency to become one of the key factors in determining the overall system performance and cost. This has led to the emergence of interconnection networks with
1669:
from high energy consumption can damage other circuit components if too much heat is dissipated, giving another pragmatic reason to conserve energy. The amount of energy used in a circuit is the
2440:
SoC designs consume less power and have a lower cost and higher reliability than the multi-chip systems that they replace. With fewer packages in the system, assembly costs are reduced as well.
2100:. Some tasks run in application-specific hardware units, however, and even task scheduling may not be sufficient to optimize all software-based tasks to meet timing and throughput constraints.
1766:
794:
1049:
typically connected the different components, also called "blocks" of the SoC. A very common bus for SoC communications is ARM's royalty-free
Advanced Microcontroller Bus Architecture (
1090:
must decrease with each additional core attached for power to be sustainable, and long wires consume large amounts of electrical power. These challenges are prohibitive to supporting
868:
or shields. Or they may be internal to the SoC, such as if an analog sensor is built in to the SoC and its readings must be converted to digital signals for mathematical processing.
7720:
4799:
567:
Tablet and laptop manufacturers have learned lessons from embedded systems and smartphone markets about reduced power consumption, better performance and reliability from tighter
258:. Similar to how a microcontroller integrates a microprocessor with peripheral circuits and memory, an SoC can be seen as integrating a microcontroller with even more advanced
655:
and designed to be more efficient than general-purpose instructions for a specific type of workload. Multiprocessor SoCs have more than one processor core by definition. The
374:
Where previously only microcontrollers could be used, SoCs are rising to prominence in the embedded systems market. Tighter system integration offers better reliability and
1325:
for an SoC aims to develop this hardware and software at the same time, also known as architectural co-design. The design flow must also take into account optimizations (
8000:
3485:
560:
with the ARM250 SoC. It combined the original Acorn ARM2 processor with a memory controller (MEMC), video controller (VIDC), and I/O controller (IOC). In previous Acorn
3771:
1653:), can potentially spend months or years without a power source while needing to maintain autonomous function, and often are limited in power use by a high number of
7664:
5714:
2811:
358:
SoCs can be applied to any computing task. However, they are typically used in mobile computing such as tablets, smartphones, smartwatches and netbooks as well as
4910:
4093:
2609:
924:
644:
4612:
6088:
4769:
4335:
4152:
516:
2720:
6651:
3548:
3213:
1578:
between modular units and other factors. Optimization is necessarily a design goal of SoCs. If optimization was not necessary, the engineers would use a
4115:
1050:
1407:
in a manner independent of time scales, which are typically specified in HDL. Other components can remain software and be compiled and embedded onto
4764:
1412:
1333:
916:
1534:, during which performance constraints, such as operational frequency and expected signal delays, are applied. This generates an output known as a
7819:
6111:
4836:
3610:
1555:
1516:
346:
1967:
produces more heat output than the last. Compounding this problem, SoC architectures are usually heterogeneous, creating spatially inhomogeneous
7361:
7333:
4589:
2466:. When produced in large volumes, SoC is more cost-effective than SiP because its packaging is simpler. Another reason SiP may be preferred is
2447:(VLSI) designs, the total cost is higher for one large chip than for the same functionality distributed over several smaller chips, because of
1871:
1447:
7386:
3457:
3408:
3306:
3267:
3060:
3014:
2739:
2117:
1585:
Common optimization targets for SoC designs follow, with explanations of each. In general, optimizing any of these quantities may be a hard
7237:
6289:
6259:
5766:
5533:
4657:
3920:
3764:
1828:, so SoCs performing multimedia tasks must be computationally capable platform while being low power to run off a standard mobile battery.
1212:
469:
hardware and firmware. With increasing memory sizes, high end SoCs will often have no memory and flash storage and instead, the memory and
3298:
7657:
7391:
6670:
5707:
5543:
4684:
2164:
2163:
techniques, but additional techniques are used to model and optimize SoC design alternatives to make the system optimal with respect to
2113:
1702:
6903:
3811:
3728:
2569:
2397:
1365:
1321:, and the software controlling the microcontroller, microprocessor or digital signal processor cores, peripherals and interfaces. The
935:
7824:
7543:
7371:
6908:
6133:
4851:
4679:
4652:
4031:
3707:
3438:
2968:
2373:
2351:
1825:
1268:
1246:
904:
538:
4002:
3521:
7859:
7742:
7631:
6732:
6081:
5861:
5666:
5229:
4122:
4088:
4083:
3967:
2448:
2393:
2387:
1945:
1877:
7019:
6274:
5641:
5538:
4939:
4846:
4647:
3890:
3868:
3757:
3632:
3428:
1453:
1372:
1176:
485:
190:
7272:
7990:
7650:
7310:
6936:
6644:
5851:
5700:
4386:
3821:
3541:
2355:
2086:
1465:
1461:
1250:
1117:
750:
2786:
2077:
to each-other to minimize the interconnection delays and maximize the speed at which data is communicated between modules,
7975:
7814:
7452:
7429:
7159:
7149:
6324:
6200:
6121:
4841:
4689:
4523:
4137:
4098:
3955:
3723:
3638:
3497:
2429:
1504:
1423:
1286:
1152:
912:
7533:
7121:
7029:
6941:
6717:
6702:
5727:
5278:
5123:
5118:
5040:
4516:
4477:
4132:
4127:
4061:
3873:
3505:
2444:
2109:
1602:
1357:
1208:
1188:
908:
726:
648:
587:
182:
3997:
7621:
7356:
6861:
6319:
6074:
4905:
4602:
4300:
3154:
2160:
1930:
1910:
1846:
in performance per watt: maximize the performance of the SoC given a budget of power usage. Many applications such as
845:
841:
798:
722:
203:
Higher-performance SoCs are often paired with dedicated and physically separate memory and secondary storage (such as
2879:
1582:
architecture without accounting for the area use, power consumption or performance of the system to the same extent.
1523:
operating frequency. Acceleration and emulation boxes are also very large and expensive at over US$ 1 million.
7980:
7725:
7593:
7242:
6018:
5555:
5202:
4619:
4110:
4078:
3848:
3836:
3816:
1918:
1586:
900:
375:
2344:
1527:
hardware, firmware and software interactions across multiple FPGAs with capabilities similar to a logic analyzer.
1239:
7995:
7970:
7920:
7752:
7611:
7538:
7513:
7376:
7024:
6637:
6138:
6097:
5646:
5609:
5599:
3987:
3695:
3534:
2452:
2257:
2070:
1606:
1477:
1037:(NoC) have risen to prominence and are forecast to overtake bus architectures for SoC design in the near future.
943:
541:& Kompanio Series. Standalone application & tablet processors that power devices such as Amazon Echo Show
7985:
7889:
7737:
7715:
7689:
7462:
7295:
6888:
6757:
6561:
6294:
6180:
6163:
6148:
6143:
6024:
5661:
5068:
5004:
4981:
4831:
4793:
4629:
4579:
4574:
4051:
3945:
3853:
3712:
3589:
2552:
1902:
1809:
1625:
1571:
1496:
1215:(3DICs) emerge, SoC designers are looking towards building three-dimensional on-chip networks known as 3DNoCs.
1133:
1109:
876:
830:
761:
on-chip to be accessed by a different processor. For further discussion of multi-processing memory issues, see
640:
611:
270:
174:
3858:
2861:
1167:
are an ongoing area of much research interest. NoC architectures range from traditional distributed computing
378:, and SoCs offer more advanced functionality and computing power than microcontrollers. Applications include
7874:
7523:
7457:
7348:
7164:
6831:
6538:
5918:
5890:
5614:
5397:
5291:
5255:
5172:
5156:
4998:
4787:
4746:
4734:
4597:
4511:
4432:
4197:
3801:
3577:
2579:
2574:
2285:
1662:
1598:
1499:
to verify and debug hardware and software for SoC designs prior to the finalization of the design, known as
1457:
1443:
1427:
1388:
1156:
1148:
1018:
810:
579:
489:
448:
285:, in part due to the influence of SoCs and lessons learned from the mobile and embedded computing markets.
208:
154:
1538:
describing the design as a physical circuit and its interconnections. These netlists are combined with the
7769:
7764:
7588:
7419:
7300:
7067:
7057:
7052:
6479:
6365:
5420:
5392:
5302:
5267:
5016:
5010:
4992:
4726:
4720:
4624:
4528:
4419:
4358:
4220:
3863:
2175:
2097:
1851:
1797:
1594:
939:
782:
282:
277:
of components. By definition, SoC designs are fully or nearly fully integrated across different component
5692:
2320:
of power, heat, latency and other factors to allow design decisions to be optimized for the common case.
7910:
7854:
7846:
7699:
7681:
7673:
7558:
7528:
7518:
7414:
7328:
7204:
7144:
7111:
7101:
6991:
6956:
6946:
6883:
6752:
6727:
6722:
6687:
6489:
6380:
6370:
6190:
6013:
5898:
5723:
5594:
5503:
5249:
4961:
4779:
4538:
4506:
4464:
4376:
4177:
3992:
3982:
3972:
3962:
3932:
3915:
3780:
3690:
2836:
2687:
2647:
2615:
2589:
2253:
2241:
2214:
2074:
2066:
1777:
1658:
1621:
1575:
1543:
1419:
1353:
1290:
1196:
1022:
920:
702:
274:
166:
2904:
7879:
7802:
7786:
7318:
7290:
7262:
7257:
7086:
7062:
7014:
6999:
6981:
6971:
6966:
6928:
6878:
6873:
6790:
6736:
6553:
6500:
6385:
6279:
6185:
5903:
5624:
5560:
5146:
4868:
4758:
4705:
4237:
3950:
3806:
3788:
3673:
3516:
3141:
2226:
1956:
1881:
1859:
1855:
1694:
1392:
1349:
1192:
1164:
1083:
1056:
778:
746:
710:
682:
399:
281:. For these reasons, there has been a general trend towards tighter integration of components in the
5671:
5273:
1542:
connecting the components to produce the schematic description of the SoC as a circuit which can be
7927:
7583:
7508:
7424:
7409:
7174:
6961:
6918:
6913:
6810:
6800:
6772:
6598:
6454:
6175:
6153:
5958:
5656:
5476:
5327:
5309:
5261:
4915:
4862:
4667:
4662:
4639:
4555:
4437:
4292:
4187:
4046:
3667:
2594:
2505:
2313:
2269:
2179:
1960:
1941:
1408:
1361:
1314:
1087:
1033:
architectures were used, but recently designs based on sparse intercommunication networks known as
971:
660:
512:
481:
462:
178:
1645:
used to perform the SoC's functions. Most SoCs must use low power. SoC systems often require long
45:
7937:
7905:
7694:
7548:
7447:
7323:
7280:
7189:
7131:
7116:
7106:
6898:
6697:
6474:
6390:
5528:
5520:
5372:
5347:
5151:
5026:
4550:
4491:
4371:
4103:
3831:
3185:
3084:
2636:
2555:
often compares many options. Benchmarks, such as COSMIC, are developed to help such evaluations.
2210:
2183:
1964:
1894:
1678:
1404:
1172:
1121:
1059:
controllers route data directly between external interfaces and SoC memory, bypassing the CPU or
754:
734:
652:
568:
474:
403:
231:
219:(PoP) configuration, or be placed close to the SoC. Additionally, SoCs may use separate wireless
216:
142:
721:. As in other computer systems, RAM can be subdivided into relatively faster but more expensive
7834:
7781:
7568:
7498:
7477:
7439:
7247:
7214:
7194:
6893:
6805:
6679:
6405:
6247:
5978:
5871:
5751:
5481:
5448:
5364:
5296:
5197:
5187:
5177:
5108:
5103:
5098:
5021:
4950:
4856:
4816:
4449:
4399:
4349:
4325:
4207:
4147:
4142:
4024:
3940:
3661:
3626:
3501:
3463:
3453:
3434:
3414:
3404:
3322:
3302:
3292:
3273:
3263:
3066:
3056:
3020:
3010:
2974:
2964:
2675:
2631:
2599:
2459:
2458:
When it is not feasible to construct an SoC for a particular application, an alternative is a
2400:(MOS) technology. The netlists described above are used as the basis for the physical design (
2249:
2195:
1913:
of the circuit over time. High temperatures and thermal stress negatively impact reliability,
1610:
1579:
1567:
1322:
1282:
1129:
1103:
1072:
1034:
998:
986:
975:
967:
880:
849:
730:
458:
395:
266:
265:
Compared to a multi-chip architecture, an SoC with equivalent functionality will have reduced
197:
7915:
7757:
7401:
7285:
7252:
7047:
6976:
6865:
6851:
6846:
6795:
6782:
6660:
6269:
5651:
5584:
5425:
5332:
5286:
5093:
5088:
5083:
5078:
5073:
5063:
4900:
4811:
4806:
4715:
4567:
4562:
4545:
4533:
4472:
4036:
4014:
3900:
3878:
3796:
3733:
3700:
2488:
2233:
2093:
1937:
1922:
1914:
1843:
1805:
1793:
1682:
1508:
1168:
1125:
1002:
923:. SP cores most often feature application-specific instructions, and as such are typically
861:
814:
706:
694:
656:
607:
583:
561:
557:
454:
289:
251:
109:
74:
7949:
7944:
7472:
7366:
7338:
7232:
7184:
7169:
7154:
7009:
7004:
6951:
6841:
6815:
6767:
6712:
6508:
5866:
5811:
5771:
5565:
5550:
5498:
5402:
5377:
5214:
5207:
5058:
5053:
5048:
4987:
4895:
4885:
4607:
4442:
4394:
4157:
4041:
4009:
3910:
3905:
3826:
3718:
3644:
3600:
3595:
3006:
2679:
2667:
2625:
2401:
2289:
2284:
allows SoC states and NoC buffers to be modeled as arrival processes and analyzed through
2273:
2203:
2082:
1972:
1906:
1837:
1821:
1801:
1674:
1654:
1547:
1531:
1300:
1180:
1113:
1079:
1046:
1030:
959:
928:
892:
762:
698:
690:
686:
676:
632:
599:
553:
387:
363:
359:
332:
320:
301:
235:
194:
158:
2281:
1661:
in an area. Additionally, energy costs can be high and conserving energy will reduce the
519:), used in many smartphones. In 2018, Snapdragon SoCs were being used as the backbone of
7932:
7884:
7747:
7578:
7482:
7381:
7227:
7199:
6610:
5676:
5510:
5493:
5486:
5382:
5239:
4976:
4890:
4821:
4404:
4366:
4315:
4310:
4305:
4019:
3843:
3738:
3605:
3572:
3400:
3323:"FPGA vs ASIC: Differences between them and which one to use? – Numato Lab Help Center"
3260:
Heat
Management in Integrated circuits: On-chip and system-level monitoring and cooling
2671:
2641:
2483:
2078:
1847:
1642:
1345:
1337:
1296:
1144:
1014:
994:
766:
705:
embedded microcontrollers, this is not necessary. Memory technologies for SoCs include
636:
628:
603:
498:
466:
407:
383:
379:
339:
305:
239:
3111:
2520:
2127:
2034:
1987:
349:
SoCs designed for specific applications that do not fit into the above two categories.
7964:
7467:
6762:
6581:
6566:
6438:
6360:
6242:
6050:
5908:
5821:
5786:
5746:
5471:
5387:
4427:
4409:
4202:
3895:
3399:. Kluwer international series in engineering and computer science, SECS 711. Boston:
3351:
3238:
2772:
2620:
2423:
1952:
1898:
1813:
1781:
1769:
1469:
1341:
1160:
1068:
1026:
896:
837:
575:
186:
7563:
7222:
6523:
6513:
6158:
5856:
5781:
5681:
5619:
5435:
5412:
5224:
4945:
3883:
2691:
2604:
2463:
2317:
2309:
2305:
2301:
2277:
1926:
1646:
1485:
1200:
1184:
1060:
963:
718:
659:
is a common choice for SoC processor cores because some ARM-architecture cores are
470:
293:
215:, respectively) chips, that may be layered on top of the SoC in what is known as a
162:
54:
2787:"Power Management for Internet of Things (IoT) System on a Chip (SoC) Development"
1690:
1422:
of the SoC has been defined, any new hardware elements are written in an abstract
316:
3394:
1460:
and it accounts for a significant portion of the time and energy expended in the
1139:
Networks-on-chip have advantages including destination- and application-specific
7553:
7179:
7091:
6309:
6218:
6126:
6030:
6008:
5968:
5761:
5466:
5430:
5141:
5113:
4971:
4826:
2837:"Qualcomm launches SoCs for embedded vision | Imaging and Machine Vision Europe"
2415:
2333:
1890:
1773:
1590:
1400:
1228:
947:
927:(ASIP). Such application-specific instructions correspond to dedicated hardware
742:
444:
297:
255:
200:
functions (otherwise it may be considered on a discrete application processor).
170:
150:
17:
3214:"FPGA Prototyping to Structured ASIC Production to Reduce Cost, Risk & TTM"
1951:
These thermal effects force SoC and other chip designers to apply conservative
1948:
but would result in unacceptably high amounts of heat in the circuit's volume.
1530:
In parallel, the hardware elements are grouped and passed through a process of
7732:
7573:
7503:
7096:
6836:
6692:
6528:
6459:
6428:
6235:
5836:
5806:
5776:
5352:
5342:
5337:
5319:
5219:
5192:
4454:
4287:
4257:
3977:
2747:
2695:
2467:
2019:
1887:
1785:
1666:
1650:
1539:
1431:
1064:
982:
865:
524:
278:
259:
3467:
3277:
3070:
3024:
7864:
7078:
7039:
6593:
6588:
6571:
6533:
6340:
6213:
5928:
5831:
5796:
5756:
5443:
5440:
5182:
4252:
4230:
3418:
2978:
1968:
1614:
1512:
822:
738:
614:
to connect, control, direct and interface between these functional modules.
391:
2929:
1305:
813:, etc. These interfaces will differ according to the intended application.
3364:
1796:
devices, another reason that power consumption must be minimized in SoCs.
1693:, power is current squared times resistance or voltage squared divided by
439:
7869:
7807:
7139:
6576:
6469:
6400:
6345:
6304:
6299:
6264:
6230:
5953:
5943:
5933:
5913:
5846:
5458:
4330:
4277:
3749:
3526:
3510:
3347:
3262:. London, United Kingdom: The Institution of Engineering and Technology.
3137:
3116:
2768:
2493:
1940:
on modern devices, oftentimes a layout of sufficient throughput and high
1817:
1670:
1551:
1500:
1492:
1481:
1396:
1091:
888:
857:
790:
572:
532:
506:
146:
50:
38:
7642:
2721:"7 dazzling smartphone improvements with Qualcomm's Snapdragon 835 chip"
2096:
running on processor cores, latency and throughput can be improved with
631:, but typically an SoC has more than one core. Processor cores can be a
6543:
6433:
6395:
6254:
6208:
5986:
5938:
5816:
5791:
5741:
4267:
4225:
3582:
3085:"Best Practices for FPGA Prototyping of MATLAB and Simulink Algorithms"
2683:
2564:
2408:
fabrication plant to create the SoC dice before packaging and testing.
2358: in this section. Unsourced material may be challenged and removed.
2237:
1816:
multimedia. Computation is more demanding as expectations move towards
1789:
1686:
1535:
1473:
1384:
1253: in this section. Unsourced material may be challenged and removed.
1140:
1021:
back and forth. Because of this, all but the most trivial SoCs require
826:
664:
2905:"Always Connected PCs, Extended Battery Life 4G LTE Laptops | Windows"
7774:
6605:
6464:
6284:
6168:
6066:
6055:
6000:
5841:
5826:
5801:
5570:
4282:
4247:
4212:
2584:
2499:
1452:
Chips are verified for validation correctness before being sent to a
1380:
884:
853:
714:
520:
494:
3491:
806:
745:. "Main memory" may be specific to a single processor (which can be
34:
6629:
1376:
1344:
that may control their operation. Of particular importance are the
6375:
6355:
6314:
5963:
4740:
4272:
4242:
3450:
Network-on-chip: the Next
Generation of System-on-Chip Integration
3053:
Network-on-chip: the Next
Generation of System-on-Chip Integration
1304:
990:
818:
438:
410:
markets. Some examples of SoCs for embedded applications include:
315:
247:
220:
204:
44:
33:
3294:
Essential Issues in SOC Design: Designing
Complex Systems-on-Chip
2812:"Is a single-chip SOC processor right for your embedded project?"
1340:, collectively "blocks", described above, together with software
966:, control execution of SoC functions and provide time context to
6518:
6225:
5948:
5923:
5604:
4752:
4672:
4262:
2418:
2069:
for some or all of their functions. This can be accomplished by
2018:
SoCs are optimized to maximize computational and communications
1491:
Traditionally, engineers have employed simulation acceleration,
802:
224:
212:
7646:
6633:
6070:
5696:
3753:
3530:
2081:
and memories. In general, optimizing to minimize latency is an
6350:
5996:
4192:
4182:
2740:"Qualcomm's Snapdragon X60 promises smaller 5G modems in 2021"
2515:
2327:
2256:), but are also applied to application-specific tasks such as
2245:
2178:
is an important activity in any computer system with multiple
2121:
2029:
1982:
1812:
in recent years with user demands and expectations for higher-
1488:
found in the verification stage are reported to the designer.
1332:
Most SoCs are developed from pre-qualified hardware component
1222:
1159:
for on-chip communication, although they typically have fewer
786:
701:. In the mobile computing market, this is common, but in many
414:
243:
130:
95:
2930:"Gigabit Class LTE, 4G LTE and 5G Cellular Modems | Qualcomm"
2880:"ARM is going after Intel with new chip roadmap through 2020"
1464:, often quoted as 70%. With the growing complexity of chips,
970:
applications of the SoC, if needed. Popular time sources are
3481:
2209:
Software running on SoCs often schedules tasks according to
1800:
are often executed on these devices, including video games,
1086:
does not scale with the number of cores attached, the SoC's
477:), the SoC. Some examples of mobile computing SoCs include:
2411:
SoCs can be fabricated by several technologies, including:
2186:
sharing a single processor core. It is important to reduce
1955:, creating less performant devices to mitigate the risk of
327:
In general, there are three distinguishable types of SoCs:
242:
or perhaps several processor cores with peripherals like a
124:
118:
89:
83:
852:. These may be able to interface with different types of
1933:
and other performance degradation of the SoC over time.
785:. These are often based upon industry standards such as
2532:
2140:
2046:
1999:
1211:
choices as the number of cores in SoCs increase, so as
899:
and multimedia processing. DSP cores typically feature
457:
based SoCs always bundle processors, memories, on-chip
3003:
1605:
in some cases. Additionally, most SoC designs contain
1147:. Network-on-chip architectures take inspiration from
1143:, greater power efficiency and reduced possibility of
693:. Depending on the application, SoC memory may form a
2260:
and multimedia manipulations in the context of SoCs.
1705:
879:(DSP) cores are often included on SoCs. They perform
169:
interfaces, often alongside other components such as
133:
127:
121:
112:
92:
86:
77:
7898:
7845:
7795:
7708:
7680:
7491:
7438:
7400:
7347:
7309:
7271:
7213:
7130:
7076:
7038:
6990:
6927:
6860:
6824:
6781:
6745:
6678:
6552:
6499:
6488:
6447:
6421:
6414:
6333:
6199:
6104:
6043:
5995:
5977:
5889:
5880:
5734:
5634:
5583:
5519:
5457:
5411:
5363:
5318:
5238:
5165:
5134:
5039:
4960:
4924:
4878:
4778:
4704:
4638:
4588:
4499:
4490:
4463:
4418:
4385:
4357:
4348:
4168:
4071:
4060:
3931:
3787:
3683:
3654:
3619:
3565:
2232:Hardware and software tasks are often pipelined in
2159:Systems on chip are modeled with standard hardware
1971:, which cannot be effectively mitigated by uniform
115:
80:
3494:free SoC platform assembly and IP integration tool
1862:, but power is limited in most SoC environments.
1761:{\displaystyle P=IV={\frac {V^{2}}{R}}={I^{2}}{R}}
1760:
1597:are often required and it may be practical to use
911:, and are therefore highly amenable to exploiting
733:hierarchy, SRAM will usually be used to implement
558:A3010, A3020 and A4000 range of personal computers
1507:(FPGAs) are favored for prototyping SoCs because
406:, multimedia, networking, telecommunications and
153:. These components almost always include on-chip
3155:"Difference between Verification and Validation"
1108:In the late 2010s, a trend of SoCs implementing
3448:Kundu, Santanu; Chattopadhyay, Santanu (2014).
3393:Badawy, Wael; Jullien, Graham A., eds. (2003).
3112:"The 'why' and 'what' of algorithmic synthesis"
3051:Kundu, Santanu; Chattopadhyay, Santanu (2014).
2773:The why, where and what of low-power SoC design
2462:(SiP) comprising a number of chips in a single
1112:in terms of a network-like topology instead of
925:application-specific instruction set processors
864:. They may interface with application-specific
713:(RAM), Electrically Erasable Programmable ROM (
2610:Application-specific instruction set processor
1352:. The hardware blocks are put together using
758:
645:application-specific instruction set processor
586:communications integrated on chip (integrated
7658:
6669:Note: This template roughly follows the 2012
6645:
6082:
5708:
3765:
3542:
3241:." October 30, 2012. Retrieved July 28, 2015.
2954:
2952:
2950:
2775:." December 2, 2004. Retrieved July 28, 2015.
1607:multiple variables to optimize simultaneously
1348:that drive industry-standard interfaces like
958:As with other computer systems, SoCs require
8:
3239:Tektronix hopes to shake up ASIC prototyping
1078:Wire delay is not scalable due to continued
145:that integrates most or all components of a
4770:Computer performance by orders of magnitude
3452:(1st ed.). Boca Raton, FL: CRC Press.
3354:." March 21, 2005. Retrieved July 28, 2015.
3055:(1st ed.). Boca Raton, FL: CRC Press.
2236:. Pipelining is an important principle for
1554:in the event that the SoCs are produced as
1371:SoCs components are also often designed in
685:blocks to perform their computation, as do
7665:
7651:
7643:
6652:
6638:
6630:
6496:
6418:
6089:
6075:
6067:
5886:
5715:
5701:
5693:
5235:
4875:
4496:
4354:
4068:
3772:
3758:
3750:
3549:
3535:
3527:
3144:." June 14, 2004. Retrieved July 28, 2015.
3498:Systems on Chip for Embedded Applications
3396:System-on-Chip for Real-Time Applications
2374:Learn how and when to remove this message
2252:) and RISC processors (evolutions of the
1753:
1746:
1741:
1727:
1721:
1704:
1411:included in the SoC as modules in HDL as
1269:Learn how and when to remove this message
8001:Application-specific integrated circuits
2479:Some examples of systems on a chip are:
2225:For broader coverage of this topic, see
1792:. Customers want long battery lives for
1593:fairly easily. Therefore, sophisticated
1556:application-specific integrated circuits
1517:application-specific integrated circuits
1313:A system on a chip consists of both the
473:will be placed right next to, or above (
273:area. This comes at the cost of reduced
3001:Haris Javaid; Sri Parameswaran (2014).
2711:
2659:
2312:variants. Markov chain modeling allows
1681:of power consumption is the product of
1677:consumed with respect to time, and the
1620:For broader coverage of trade-offs and
1326:
1116:protocols has emerged. A trend towards
1017:. These units must often send data and
347:application-specific integrated circuit
7362:Knowledge representation and reasoning
2862:"Samsung Galaxy S10 and S10e Teardown"
1886:SoC designs are optimized to minimize
1872:Heat generation in integrated circuits
1546:onto a chip. This process is known as
1448:Signoff (electronic design automation)
7387:Philosophy of artificial intelligence
3299:Springer Science & Business Media
3253:
3251:
3249:
3247:
3046:
3044:
3042:
3040:
3038:
3036:
3034:
2996:
2994:
2992:
2990:
2988:
1213:three-dimensional integrated circuits
527:, marketed as "Always Connected PCs".
362:and in applications where previously
7:
6713:Energy consumption (Green computing)
4741:Floating-point operations per second
2356:adding citations to reliable sources
2199:
1251:adding citations to reliable sources
1067:of the SoC. This is similar to some
7392:Distributed artificial intelligence
6671:ACM Computing Classification System
2963:. Harlow, England: Addison-Wesley.
2167:on the above optimization targets.
2165:multiple-criteria decision analysis
2114:Multiple-criteria decision analysis
2073:elements with proper proximity and
1963:as length scales get smaller, each
1641:SoCs are optimized to minimize the
6904:Integrated development environment
3517:MPSoC – Annual Conference on MPSoC
3184:Rittman, Danny (January 5, 2006).
3142:Is verification really 70 percent?
3110:Bowyer, Bryan (February 5, 2005).
2570:List of system on a chip suppliers
1366:integrated development environment
1071:of peripherals on component-based
725:(SRAM) and the slower but cheaper
25:
7743:Hardware random number generation
7372:Automated planning and scheduling
6909:Software configuration management
1878:Thermal management in electronics
1574:, communication, positioning for
934:Typical DSP instructions include
931:that compute those instructions.
905:single instruction, multiple data
402:. Often embedded SoCs target the
7626:
7616:
7607:
7606:
5667:Semiconductor device fabrication
2738:Amadeo, R. (February 18, 2020).
2519:
2388:Semiconductor device fabrication
2332:
2126:
2033:
1986:
1768:SoCs are frequently embedded in
1373:high-level programming languages
1364:are integrated using a software
1227:
759:§ Intermodule communication
108:
73:
7617:
7020:Computational complexity theory
5642:History of general-purpose CPUs
3869:Nondeterministic Turing machine
3430:ARM system-on-chip architecture
2961:ARM system-on-chip architecture
2343:needs additional citations for
2268:SoCs are often analyzed though
2191:
2065:SoCs are optimized to minimize
1842:SoCs are optimized to maximize
1466:hardware verification languages
1238:needs additional citations for
342:, often found in mobile phones;
181:or microchip. SoCs may contain
6811:Network performance evaluation
3822:Deterministic finite automaton
2719:Shah, Agam (January 3, 2017).
2244:. They are frequently used in
2118:Architecture tradeoff analysis
2087:Boolean satisfiability problem
1944:is physically realizable from
1505:Field-programmable gate arrays
1336:for the hardware elements and
1318:
1063:, thereby increasing the data
1045:Historically, a shared global
997:generators. SoCs also include
741:whereas DRAM will be used for
627:An SoC must have at least one
177:(GPU) – all on a single
1:
7175:Multimedia information system
7160:Geographic information system
7150:Enterprise information system
6746:Computer systems organization
4613:Simultaneous and heterogenous
3352:The Great Debate: SOC vs. SIP
3291:Lin, Youn-Long Steve (2007).
2670:, "shields" are analogous to
2430:Field-programmable gate array
1897:, heat generated due to high
1808:; all of which have grown in
1424:hardware description language
1287:Physical design (electronics)
913:instruction-level parallelism
909:instruction set architectures
588:network interface controllers
7534:Computational social science
7122:Theoretical computer science
6942:Software development process
6718:Electronic design automation
6703:Very Large Scale Integration
5728:single-board microcontroller
5297:Integrated memory controller
5279:Translation lookaside buffer
4478:Memory dependence prediction
3921:Random-access stored program
3874:Probabilistic Turing machine
3513:SoC for FPGAs defined by C++
3488:International SoC Conference
3258:Ogrenci-Memik, Seda (2015).
2445:very-large-scale integration
2300:SoCs are often modeled with
2187:
2110:Multi-objective optimization
1358:electronic design automation
1189:genetic algorithm scheduling
1118:more processor cores on SoCs
1025:. Originally, as with other
846:digital-to-analog converters
598:An SoC consists of hardware
288:SoCs are very common in the
254:radio modems or one or more
165:devices and interfaces, and
7357:Natural language processing
7145:Information storage systems
4753:Synaptic updates per second
3427:Furber, Stephen B. (2000).
2959:Furber, Stephen B. (2000).
2161:verification and validation
1893:on the chip. As with other
1858:require a certain level of
1589:problem, and can indeed be
1515:and are more flexible than
1197:random walks with branching
651:that are customized for an
497:, used mainly by Samsung's
8017:
7273:Human–computer interaction
7243:Intrusion detection system
7155:Social information systems
7140:Database management system
5157:Heterogeneous architecture
4079:Orthogonal instruction set
3849:Alternating Turing machine
3837:Quantum cellular automaton
3433:. Boston: Addison-Wesley.
3401:Kluwer Academic Publishers
2385:
2224:
2107:
2085:problem equivalent to the
1919:mean time between failures
1875:
1869:
1835:
1587:combinatorial optimization
1511:are reprogrammable, allow
1441:
1294:
1280:
1163:. Optimal network-on-chip
1101:
901:very long instruction word
836:When needed, SoCs include
729:(DRAM). When an SoC has a
674:
283:computer hardware industry
29:Micro-electronic component
7738:Digital signal processing
7602:
7539:Computational engineering
7514:Computational mathematics
6667:
5647:Microprocessor chronology
5610:Dynamic frequency scaling
4765:Cache performance metrics
3237:Brian Bailey, EE Times. "
2453:non-recurring engineering
2398:metal–oxide–semiconductor
2318:steady state distribution
2258:digital signal processing
1860:computational performance
1456:. This process is called
1327:§ Optimization goals
1132:" (NoCs) to overcome the
1110:communications subsystems
1023:communications subsystems
1009:Intermodule communication
872:Digital signal processors
535:, typically based on ARM
376:mean time between failure
7690:Universal Turing machine
7549:Computational healthcare
7544:Differentiable computing
7463:Graphics processing unit
6889:Domain-specific language
6758:Computational complexity
6181:Circuit underutilization
6164:Reconfigurable computing
5662:Hardware security module
5005:Digital signal processor
4982:Graphics processing unit
4794:Graphics processing unit
3713:Digital signal processor
3590:Graphics processing unit
2678:. They often fit over a
2628:in biomedical technology
2553:research and development
2496:'s Epiphany architecture
2392:SoC chips are typically
2286:Poisson random variables
1810:computational complexity
1626:requirements engineering
1599:approximation algorithms
877:Digital signal processor
831:near-field communication
647:(ASIP) core. ASIPs have
641:digital signal processor
612:communications subsystem
175:graphics processing unit
49:A system on a chip from
7748:Artificial intelligence
7524:Computational chemistry
7458:Photograph manipulation
7349:Artificial intelligence
7165:Decision support system
5615:Dynamic voltage scaling
5398:Memory address register
5292:Branch target predictor
5256:Address generation unit
4999:Physics processing unit
4788:Central processing unit
4747:Transactions per second
4735:Instructions per second
4658:Array processing (SIMT)
3802:Stored-program computer
3186:"Nanometer prototyping"
2580:ARM architecture family
2575:Post-silicon validation
1798:Multimedia applications
1663:total cost of ownership
1595:optimization algorithms
1497:reprogrammable hardware
1458:functional verification
1444:Functional verification
1428:register transfer level
1301:Software design process
1283:Electronics design flow
1157:Internet protocol suite
1149:communication protocols
1136:of bus-based networks.
1041:Bus-based communication
883:operations in SoCs for
833:may also be supported.
783:communication protocols
751:has multiple processors
465:capabilities and often
449:Nokia 9000 Communicator
323:-based system on a chip
155:central processing unit
7770:Custom hardware attack
7589:Educational technology
7420:Reinforcement learning
7170:Process control system
7068:Computational geometry
7058:Algorithmic efficiency
7053:Analysis of algorithms
6708:Systems on Chip (SoCs)
5421:Hardwired control unit
5303:Memory management unit
5268:Memory management unit
5017:Secure cryptoprocessor
5011:Tensor Processing Unit
4993:Vision processing unit
4727:Cycles per instruction
4721:Instructions per cycle
4668:Associative processing
4359:Instruction pipelining
3781:Processor technologies
3159:Software Testing Class
2264:Probabilistic modeling
2200:§ Processor cores
1852:distributed processing
1778:GPS navigation devices
1762:
1462:chip design life cycle
1334:IP core specifications
1310:
940:Fast Fourier transform
777:SoCs include external
451:
425:Zynq UltraScale+ RFSoC
422:Zynq UltraScale+ MPSoC
324:
57:
42:
7991:Hardware acceleration
7700:Distributed computing
7674:Hardware acceleration
7559:Electronic publishing
7529:Computational biology
7519:Computational physics
7415:Unsupervised learning
7329:Distributed computing
7205:Information retrieval
7112:Mathematical analysis
7102:Mathematical software
6992:Theory of computation
6957:Software construction
6947:Requirements analysis
6825:Software organization
6753:Computer architecture
6723:Hardware acceleration
6688:Printed circuit board
6191:Hardware acceleration
5724:Single-board computer
5504:Sum-addressed decoder
5250:Arithmetic logic unit
4377:Classic RISC pipeline
4331:Epiphany architecture
4178:Motorola 68000 series
3729:List of SoC suppliers
2688:single-board computer
2648:Hardware acceleration
2616:Platform-based design
2590:Single-board computer
2386:Further information:
2254:classic RISC pipeline
2242:computer architecture
2215:randomized scheduling
2108:Further information:
1946:fabrication processes
1763:
1665:of the SoC. Finally,
1622:requirements analysis
1454:semiconductor foundry
1442:Further information:
1354:computer-aided design
1308:
1291:Platform-based design
1193:randomized algorithms
1165:network architectures
921:superscalar execution
840:interfaces including
757:and must be sent via
753:, in this case it is
675:Further information:
618:Functional components
501:series of smartphones
488:, typically based on
442:
319:
269:as well as a smaller
48:
37:
7976:Computer engineering
7803:High-level synthesis
7319:Concurrent computing
7291:Ubiquitous computing
7263:Application security
7258:Information security
7087:Discrete mathematics
7063:Randomized algorithm
7015:Computability theory
7000:Model of computation
6972:Software maintenance
6967:Software engineering
6929:Software development
6879:Programming language
6874:Programming paradigm
6791:Network architecture
6386:Microchip Technology
6186:High-level synthesis
5625:Performance per watt
5203:replacement policies
4869:Package on a package
4759:Performance per watt
4663:Pipelined processing
4433:Tomasulo's algorithm
4238:Clipper architecture
4094:Application-specific
3807:Finite-state machine
3674:Package on a package
2352:improve this article
2270:probabilistic models
2227:Pipeline (computing)
2198:running on an SoC's
1961:transistor densities
1957:catastrophic failure
1882:Thermal design power
1856:ambient intelligence
1832:Performance per watt
1703:
1409:soft-core processors
1395:(HLS) tools such as
1393:high-level synthesis
1356:tools, specifically
1247:improve this article
1057:Direct memory access
711:random-access memory
683:semiconductor memory
400:ambient intelligence
338:SoCs built around a
331:SoCs built around a
7928:Digital electronics
7880:In-memory computing
7860:Transport triggered
7594:Document management
7584:Operations research
7509:Enterprise software
7425:Multi-task learning
7410:Supervised learning
7132:Information systems
6962:Software deployment
6919:Software repository
6773:Real-time computing
6455:Intel Quartus Prime
6176:Soft microprocessor
5959:Qualcomm Snapdragon
5657:Digital electronics
5310:Instruction decoder
5262:Floating-point unit
4916:Soft microprocessor
4863:System in a package
4438:Reservation station
3968:Transport-triggered
3668:System in a package
2595:System in a package
2506:Qualcomm Snapdragon
2443:However, like most
2314:asymptotic analysis
1959:. Due to increased
1895:integrated circuits
1689:. Equivalently, by
1566:SoCs must optimize
1438:Design verification
1329:) and constraints.
1088:operating frequency
1013:SoCs comprise many
989:-timers, real-time
972:crystal oscillators
936:multiply-accumulate
917:parallel processing
815:Wireless networking
735:processor registers
482:Samsung Electronics
463:wireless networking
428:Versal Adaptive SoC
7938:Hardware emulation
7906:Programmable logic
7695:Parallel computing
7377:Search methodology
7324:Parallel computing
7281:Interaction design
7190:Computing platform
7117:Numerical analysis
7107:Information theory
6899:Software framework
6862:Software notations
6801:Network components
6698:Integrated circuit
6098:Programmable logic
5529:Integrated circuit
5373:Processor register
5027:Baseband processor
4372:Operand forwarding
3832:Cellular automaton
3633:Multiprocessor SoC
2785:Nolan, Stephen M.
2637:Parallel computing
2531:. You can help by
2211:network scheduling
2139:. You can help by
2045:. You can help by
1998:. You can help by
1965:process generation
1942:transistor density
1826:multiple standards
1758:
1659:networked together
1617:in system design.
1562:Optimization goals
1495:or prototyping on
1405:computer engineers
1311:
1169:network topologies
1084:system performance
1075:PC architectures.
999:voltage regulators
976:phase-locked loops
944:fused multiply-add
817:protocols such as
755:distributed memory
653:application domain
548:Personal computers
475:package on package
452:
404:internet of things
325:
217:package on package
143:integrated circuit
58:
43:
7981:Electronic design
7958:
7957:
7835:Network on a chip
7640:
7639:
7569:Electronic voting
7499:Quantum Computing
7492:Applied computing
7478:Image compression
7248:Hardware security
7238:Security services
7195:Digital marketing
6982:Open-source model
6894:Modeling language
6806:Network scheduler
6627:
6626:
6623:
6622:
6619:
6618:
6406:Texas Instruments
6064:
6063:
6039:
6038:
5752:Asus Tinker Board
5690:
5689:
5579:
5578:
5198:Instruction cache
5188:Scratchpad memory
5035:
5034:
5022:Network processor
4951:Network on a chip
4906:Ultra-low-voltage
4857:Multi-chip module
4700:
4699:
4486:
4485:
4473:Branch prediction
4450:Register renaming
4344:
4343:
4326:VISC architecture
4148:Quantum computing
4143:VISC architecture
4025:Secondary storage
3941:Microarchitecture
3901:Register machines
3747:
3746:
3662:Multi-chip module
3627:Network on a chip
3502:Auburn University
3459:978-1-4665-6527-2
3410:978-1-4020-7254-3
3308:978-1-4020-5352-8
3269:978-1-84919-935-3
3161:. August 26, 2013
3091:. August 25, 2011
3062:978-1-4665-6527-2
3016:978-3-319-01113-4
2909:www.microsoft.com
2841:www.imveurope.com
2632:Multi-chip module
2600:Network on a chip
2549:
2548:
2460:system in package
2384:
2383:
2376:
2290:Poisson processes
2274:queueing networks
2250:graphics pipeline
2196:embedded software
2192:§ Throughput
2157:
2156:
2063:
2062:
2016:
2015:
1938:transistor counts
1736:
1637:Power consumption
1580:multi-chip module
1387:and converted to
1279:
1278:
1271:
1104:Network on a chip
1098:Network on a chip
1094:systems on chip.
1073:multi-chip module
968:signal processing
881:signal processing
862:smart transducers
850:signal processing
842:analog-to-digital
443:System on a chip
396:vector processing
271:semiconductor die
267:power consumption
198:signal processing
167:secondary storage
151:electronic system
16:(Redirected from
8008:
7996:Computer systems
7971:System on a chip
7950:Embedded systems
7830:System on a chip
7667:
7660:
7653:
7644:
7630:
7629:
7620:
7619:
7610:
7609:
7430:Cross-validation
7402:Machine learning
7286:Social computing
7253:Network security
7048:Algorithm design
6977:Programming team
6937:Control variable
6914:Software library
6852:Software quality
6847:Operating system
6796:Network protocol
6661:Computer science
6654:
6647:
6640:
6631:
6497:
6419:
6091:
6084:
6077:
6068:
5887:
5717:
5710:
5703:
5694:
5652:Processor design
5544:Power management
5426:Instruction unit
5287:Branch predictor
5236:
4934:System on a chip
4876:
4716:Transistor count
4640:Flynn's taxonomy
4497:
4355:
4158:Addressing modes
4069:
4015:Memory hierarchy
3879:Hypercomputation
3797:Abstract machine
3774:
3767:
3760:
3751:
3734:Mobile computing
3719:Embedded systems
3639:Programmable SoC
3558:System on a chip
3551:
3544:
3537:
3528:
3522:Annual Symposium
3471:
3444:
3422:
3380:
3379:
3377:
3375:
3361:
3355:
3345:
3339:
3338:
3336:
3334:
3319:
3313:
3312:
3288:
3282:
3281:
3255:
3242:
3235:
3229:
3228:
3226:
3224:
3218:Design And Reuse
3210:
3204:
3203:
3201:
3199:
3190:
3181:
3175:
3174:
3168:
3166:
3151:
3145:
3135:
3129:
3128:
3126:
3124:
3107:
3101:
3100:
3098:
3096:
3081:
3075:
3074:
3048:
3029:
3028:
2998:
2983:
2982:
2956:
2945:
2944:
2942:
2940:
2926:
2920:
2919:
2917:
2915:
2901:
2895:
2894:
2892:
2890:
2876:
2870:
2869:
2868:. March 6, 2019.
2858:
2852:
2851:
2849:
2847:
2833:
2827:
2826:
2824:
2822:
2808:
2802:
2801:
2799:
2797:
2791:Design And Reuse
2782:
2776:
2765:
2759:
2758:
2756:
2754:
2735:
2729:
2728:
2716:
2699:
2694:and function as
2668:embedded systems
2664:
2544:
2541:
2523:
2516:
2379:
2372:
2368:
2365:
2359:
2336:
2328:
2280:. For instance,
2234:processor design
2204:shared resources
2152:
2149:
2130:
2122:
2079:functional units
2058:
2055:
2037:
2030:
2011:
2008:
1990:
1983:
1923:electromigration
1915:stress migration
1844:power efficiency
1806:image processing
1794:mobile computing
1770:portable devices
1767:
1765:
1764:
1759:
1757:
1752:
1751:
1750:
1737:
1732:
1731:
1722:
1643:electrical power
1611:Pareto efficient
1484:are being used.
1391:designs through
1362:software modules
1319:§ Structure
1274:
1267:
1263:
1260:
1254:
1231:
1223:
1130:networks on chip
1126:packet switching
1035:networks-on-chip
1003:power management
929:functional units
781:, typically for
707:read-only memory
695:memory hierarchy
691:embedded systems
687:microcontrollers
657:ARM architecture
649:instruction sets
600:functional units
584:wireless network
571:of hardware and
521:laptop computers
455:Mobile computing
435:Mobile computing
370:Embedded systems
364:microcontrollers
360:embedded systems
302:tablet computers
290:mobile computing
252:cellular network
140:
139:
136:
135:
132:
129:
126:
123:
120:
117:
114:
102:
101:
98:
97:
94:
91:
88:
85:
82:
79:
62:system on a chip
41:system on a chip
21:
18:System-on-a-Chip
8016:
8015:
8011:
8010:
8009:
8007:
8006:
8005:
7986:Microtechnology
7961:
7960:
7959:
7954:
7945:Logic synthesis
7894:
7841:
7796:Implementations
7791:
7704:
7676:
7671:
7641:
7636:
7627:
7598:
7579:Word processing
7487:
7473:Virtual reality
7434:
7396:
7367:Computer vision
7343:
7339:Multiprocessing
7305:
7267:
7233:Security hacker
7209:
7185:Digital library
7126:
7077:Mathematics of
7072:
7034:
7010:Automata theory
7005:Formal language
6986:
6952:Software design
6923:
6856:
6842:Virtual machine
6820:
6816:Network service
6777:
6768:Embedded system
6741:
6674:
6663:
6658:
6628:
6615:
6548:
6491:
6484:
6443:
6410:
6329:
6195:
6100:
6095:
6065:
6060:
6035:
5991:
5973:
5876:
5730:
5721:
5691:
5686:
5672:Tick–tock model
5630:
5586:
5575:
5515:
5499:Address decoder
5453:
5407:
5403:Program counter
5378:Status register
5359:
5314:
5274:Load–store unit
5241:
5234:
5161:
5130:
5031:
4988:Image processor
4963:
4956:
4926:
4920:
4896:Microcontroller
4886:Embedded system
4874:
4774:
4707:
4696:
4634:
4584:
4482:
4459:
4443:Re-order buffer
4414:
4395:Data dependency
4381:
4340:
4170:
4164:
4063:
4062:Instruction set
4056:
4042:Multiprocessing
4010:Cache hierarchy
4003:Register/memory
3927:
3827:Queue automaton
3783:
3778:
3748:
3743:
3679:
3650:
3645:Microcontroller
3615:
3601:Media processor
3596:Image processor
3561:
3555:
3478:
3460:
3447:
3441:
3426:
3411:
3392:
3389:
3387:Further reading
3384:
3383:
3373:
3371:
3363:
3362:
3358:
3346:
3342:
3332:
3330:
3329:. July 17, 2018
3321:
3320:
3316:
3309:
3301:. p. 176.
3290:
3289:
3285:
3270:
3257:
3256:
3245:
3236:
3232:
3222:
3220:
3212:
3211:
3207:
3197:
3195:
3188:
3183:
3182:
3178:
3164:
3162:
3153:
3152:
3148:
3136:
3132:
3122:
3120:
3109:
3108:
3104:
3094:
3092:
3083:
3082:
3078:
3063:
3050:
3049:
3032:
3017:
3000:
2999:
2986:
2971:
2958:
2957:
2948:
2938:
2936:
2928:
2927:
2923:
2913:
2911:
2903:
2902:
2898:
2888:
2886:
2884:Windows Central
2878:
2877:
2873:
2860:
2859:
2855:
2845:
2843:
2835:
2834:
2830:
2820:
2818:
2810:
2809:
2805:
2795:
2793:
2784:
2783:
2779:
2766:
2762:
2752:
2750:
2737:
2736:
2732:
2718:
2717:
2713:
2708:
2703:
2702:
2698:for the device.
2680:microcontroller
2672:expansion cards
2665:
2661:
2656:
2644:co-architecture
2626:Organ-on-a-chip
2561:
2545:
2539:
2536:
2529:needs expansion
2514:
2502:Zynq UltraScale
2477:
2402:place and route
2390:
2380:
2369:
2363:
2360:
2349:
2337:
2326:
2310:continuous time
2298:
2266:
2230:
2223:
2176:Task scheduling
2173:
2171:Task scheduling
2153:
2147:
2144:
2137:needs expansion
2131:
2120:
2106:
2098:task scheduling
2059:
2053:
2050:
2043:needs expansion
2028:
2012:
2006:
2003:
1996:needs expansion
1981:
1973:passive cooling
1907:miniaturization
1884:
1874:
1868:
1840:
1838:Green computing
1834:
1822:high resolution
1802:video streaming
1742:
1723:
1701:
1700:
1639:
1634:
1564:
1548:place and route
1532:logic synthesis
1509:FPGA prototypes
1450:
1440:
1346:protocol stacks
1338:execution units
1317:, described in
1309:SoC design flow
1303:
1293:
1281:Main articles:
1275:
1264:
1258:
1255:
1244:
1232:
1221:
1199:and randomized
1106:
1100:
1080:miniaturization
1043:
1015:execution units
1011:
956:
893:data collection
874:
775:
763:cache coherence
749:) when the SoC
739:built-in caches
699:cache hierarchy
681:SoCs must have
679:
677:Computer memory
673:
661:soft processors
633:microcontroller
625:
623:Processor cores
620:
610:, as well as a
604:microprocessors
596:
554:Acorn Computers
550:
437:
388:data collection
380:AI acceleration
372:
366:would be used.
356:
333:microcontroller
321:Microcontroller
314:
236:microcontroller
195:radio frequency
111:
107:
76:
72:
30:
23:
22:
15:
12:
11:
5:
8014:
8012:
8004:
8003:
7998:
7993:
7988:
7983:
7978:
7973:
7963:
7962:
7956:
7955:
7953:
7952:
7947:
7942:
7941:
7940:
7933:Virtualization
7930:
7925:
7924:
7923:
7918:
7908:
7902:
7900:
7896:
7895:
7893:
7892:
7887:
7885:Systolic array
7882:
7877:
7872:
7867:
7862:
7857:
7851:
7849:
7843:
7842:
7840:
7839:
7838:
7837:
7827:
7822:
7817:
7812:
7811:
7810:
7799:
7797:
7793:
7792:
7790:
7789:
7784:
7779:
7778:
7777:
7767:
7765:Machine vision
7762:
7761:
7760:
7750:
7745:
7740:
7735:
7730:
7729:
7728:
7723:
7712:
7710:
7706:
7705:
7703:
7702:
7697:
7692:
7686:
7684:
7678:
7677:
7672:
7670:
7669:
7662:
7655:
7647:
7638:
7637:
7635:
7634:
7624:
7614:
7603:
7600:
7599:
7597:
7596:
7591:
7586:
7581:
7576:
7571:
7566:
7561:
7556:
7551:
7546:
7541:
7536:
7531:
7526:
7521:
7516:
7511:
7506:
7501:
7495:
7493:
7489:
7488:
7486:
7485:
7483:Solid modeling
7480:
7475:
7470:
7465:
7460:
7455:
7450:
7444:
7442:
7436:
7435:
7433:
7432:
7427:
7422:
7417:
7412:
7406:
7404:
7398:
7397:
7395:
7394:
7389:
7384:
7382:Control method
7379:
7374:
7369:
7364:
7359:
7353:
7351:
7345:
7344:
7342:
7341:
7336:
7334:Multithreading
7331:
7326:
7321:
7315:
7313:
7307:
7306:
7304:
7303:
7298:
7293:
7288:
7283:
7277:
7275:
7269:
7268:
7266:
7265:
7260:
7255:
7250:
7245:
7240:
7235:
7230:
7228:Formal methods
7225:
7219:
7217:
7211:
7210:
7208:
7207:
7202:
7200:World Wide Web
7197:
7192:
7187:
7182:
7177:
7172:
7167:
7162:
7157:
7152:
7147:
7142:
7136:
7134:
7128:
7127:
7125:
7124:
7119:
7114:
7109:
7104:
7099:
7094:
7089:
7083:
7081:
7074:
7073:
7071:
7070:
7065:
7060:
7055:
7050:
7044:
7042:
7036:
7035:
7033:
7032:
7027:
7022:
7017:
7012:
7007:
7002:
6996:
6994:
6988:
6987:
6985:
6984:
6979:
6974:
6969:
6964:
6959:
6954:
6949:
6944:
6939:
6933:
6931:
6925:
6924:
6922:
6921:
6916:
6911:
6906:
6901:
6896:
6891:
6886:
6881:
6876:
6870:
6868:
6858:
6857:
6855:
6854:
6849:
6844:
6839:
6834:
6828:
6826:
6822:
6821:
6819:
6818:
6813:
6808:
6803:
6798:
6793:
6787:
6785:
6779:
6778:
6776:
6775:
6770:
6765:
6760:
6755:
6749:
6747:
6743:
6742:
6740:
6739:
6730:
6725:
6720:
6715:
6710:
6705:
6700:
6695:
6690:
6684:
6682:
6676:
6675:
6668:
6665:
6664:
6659:
6657:
6656:
6649:
6642:
6634:
6625:
6624:
6621:
6620:
6617:
6616:
6614:
6613:
6608:
6603:
6602:
6601:
6596:
6586:
6585:
6584:
6574:
6569:
6564:
6558:
6556:
6550:
6549:
6547:
6546:
6541:
6536:
6531:
6526:
6521:
6516:
6511:
6505:
6503:
6494:
6486:
6485:
6483:
6482:
6477:
6472:
6467:
6462:
6457:
6451:
6449:
6445:
6444:
6442:
6441:
6436:
6431:
6425:
6423:
6416:
6412:
6411:
6409:
6408:
6403:
6398:
6393:
6388:
6383:
6378:
6373:
6368:
6363:
6358:
6353:
6348:
6343:
6337:
6335:
6331:
6330:
6328:
6327:
6322:
6317:
6312:
6307:
6302:
6297:
6292:
6287:
6282:
6277:
6272:
6267:
6262:
6257:
6252:
6251:
6250:
6240:
6239:
6238:
6233:
6223:
6222:
6221:
6216:
6205:
6203:
6197:
6196:
6194:
6193:
6188:
6183:
6178:
6173:
6172:
6171:
6161:
6156:
6151:
6146:
6141:
6136:
6131:
6130:
6129:
6119:
6114:
6108:
6106:
6102:
6101:
6096:
6094:
6093:
6086:
6079:
6071:
6062:
6061:
6059:
6058:
6053:
6047:
6045:
6041:
6040:
6037:
6036:
6034:
6033:
6028:
6022:
6016:
6011:
6005:
6003:
5993:
5992:
5990:
5989:
5983:
5981:
5975:
5974:
5972:
5971:
5966:
5961:
5956:
5951:
5946:
5941:
5936:
5931:
5926:
5921:
5916:
5911:
5906:
5901:
5895:
5893:
5884:
5878:
5877:
5875:
5874:
5869:
5864:
5859:
5854:
5849:
5844:
5839:
5834:
5829:
5824:
5819:
5814:
5809:
5804:
5799:
5794:
5789:
5784:
5779:
5774:
5769:
5764:
5759:
5754:
5749:
5744:
5738:
5736:
5732:
5731:
5722:
5720:
5719:
5712:
5705:
5697:
5688:
5687:
5685:
5684:
5679:
5677:Pin grid array
5674:
5669:
5664:
5659:
5654:
5649:
5644:
5638:
5636:
5632:
5631:
5629:
5628:
5622:
5617:
5612:
5607:
5602:
5597:
5591:
5589:
5581:
5580:
5577:
5576:
5574:
5573:
5568:
5563:
5558:
5553:
5548:
5547:
5546:
5541:
5536:
5525:
5523:
5517:
5516:
5514:
5513:
5511:Barrel shifter
5508:
5507:
5506:
5501:
5494:Binary decoder
5491:
5490:
5489:
5479:
5474:
5469:
5463:
5461:
5455:
5454:
5452:
5451:
5446:
5438:
5433:
5428:
5423:
5417:
5415:
5409:
5408:
5406:
5405:
5400:
5395:
5390:
5385:
5383:Stack register
5380:
5375:
5369:
5367:
5361:
5360:
5358:
5357:
5356:
5355:
5350:
5340:
5335:
5330:
5324:
5322:
5316:
5315:
5313:
5312:
5307:
5306:
5305:
5294:
5289:
5284:
5283:
5282:
5276:
5265:
5259:
5253:
5246:
5244:
5233:
5232:
5227:
5222:
5217:
5212:
5211:
5210:
5205:
5200:
5195:
5190:
5185:
5175:
5169:
5167:
5163:
5162:
5160:
5159:
5154:
5149:
5144:
5138:
5136:
5132:
5131:
5129:
5128:
5127:
5126:
5116:
5111:
5106:
5101:
5096:
5091:
5086:
5081:
5076:
5071:
5066:
5061:
5056:
5051:
5045:
5043:
5037:
5036:
5033:
5032:
5030:
5029:
5024:
5019:
5014:
5008:
5002:
4996:
4990:
4985:
4979:
4977:AI accelerator
4974:
4968:
4966:
4958:
4957:
4955:
4954:
4948:
4943:
4940:Multiprocessor
4937:
4930:
4928:
4922:
4921:
4919:
4918:
4913:
4908:
4903:
4898:
4893:
4891:Microprocessor
4888:
4882:
4880:
4879:By application
4873:
4872:
4866:
4860:
4854:
4849:
4844:
4839:
4834:
4829:
4824:
4822:Tile processor
4819:
4814:
4809:
4804:
4803:
4802:
4791:
4784:
4782:
4776:
4775:
4773:
4772:
4767:
4762:
4756:
4750:
4744:
4738:
4732:
4731:
4730:
4718:
4712:
4710:
4702:
4701:
4698:
4697:
4695:
4694:
4693:
4692:
4682:
4677:
4676:
4675:
4670:
4665:
4660:
4650:
4644:
4642:
4636:
4635:
4633:
4632:
4627:
4622:
4617:
4616:
4615:
4610:
4608:Hyperthreading
4600:
4594:
4592:
4590:Multithreading
4586:
4585:
4583:
4582:
4577:
4572:
4571:
4570:
4560:
4559:
4558:
4553:
4543:
4542:
4541:
4536:
4526:
4521:
4520:
4519:
4514:
4503:
4501:
4494:
4488:
4487:
4484:
4483:
4481:
4480:
4475:
4469:
4467:
4461:
4460:
4458:
4457:
4452:
4447:
4446:
4445:
4440:
4430:
4424:
4422:
4416:
4415:
4413:
4412:
4407:
4402:
4397:
4391:
4389:
4383:
4382:
4380:
4379:
4374:
4369:
4367:Pipeline stall
4363:
4361:
4352:
4346:
4345:
4342:
4341:
4339:
4338:
4333:
4328:
4323:
4320:
4319:
4318:
4316:z/Architecture
4313:
4308:
4303:
4295:
4290:
4285:
4280:
4275:
4270:
4265:
4260:
4255:
4250:
4245:
4240:
4235:
4234:
4233:
4228:
4223:
4215:
4210:
4205:
4200:
4195:
4190:
4185:
4180:
4174:
4172:
4166:
4165:
4163:
4162:
4161:
4160:
4150:
4145:
4140:
4135:
4130:
4125:
4120:
4119:
4118:
4108:
4107:
4106:
4096:
4091:
4086:
4081:
4075:
4073:
4066:
4058:
4057:
4055:
4054:
4049:
4044:
4039:
4034:
4029:
4028:
4027:
4022:
4020:Virtual memory
4012:
4007:
4006:
4005:
4000:
3995:
3990:
3980:
3975:
3970:
3965:
3960:
3959:
3958:
3948:
3943:
3937:
3935:
3929:
3928:
3926:
3925:
3924:
3923:
3918:
3913:
3908:
3898:
3893:
3888:
3887:
3886:
3881:
3876:
3871:
3866:
3861:
3856:
3851:
3844:Turing machine
3841:
3840:
3839:
3834:
3829:
3824:
3819:
3814:
3804:
3799:
3793:
3791:
3785:
3784:
3779:
3777:
3776:
3769:
3762:
3754:
3745:
3744:
3742:
3741:
3739:Unified memory
3736:
3731:
3726:
3721:
3716:
3710:
3705:
3704:
3703:
3698:
3687:
3685:
3681:
3680:
3678:
3677:
3671:
3665:
3658:
3656:
3652:
3651:
3649:
3648:
3642:
3636:
3630:
3623:
3621:
3617:
3616:
3614:
3613:
3608:
3606:AI accelerator
3603:
3598:
3593:
3587:
3586:
3585:
3580:
3573:Microprocessor
3569:
3567:
3563:
3562:
3556:
3554:
3553:
3546:
3539:
3531:
3525:
3524:
3519:
3514:
3508:
3495:
3489:
3477:
3476:External links
3474:
3473:
3472:
3458:
3445:
3439:
3424:
3409:
3388:
3385:
3382:
3381:
3369:www.ece.ust.hk
3356:
3340:
3314:
3307:
3283:
3268:
3243:
3230:
3205:
3176:
3146:
3130:
3102:
3076:
3061:
3030:
3015:
2984:
2969:
2946:
2921:
2896:
2871:
2853:
2828:
2803:
2777:
2767:Pete Bennett,
2760:
2730:
2710:
2709:
2707:
2704:
2701:
2700:
2658:
2657:
2655:
2652:
2651:
2650:
2645:
2642:ARM big.LITTLE
2639:
2634:
2629:
2623:
2618:
2613:
2607:
2602:
2597:
2592:
2587:
2582:
2577:
2572:
2567:
2560:
2557:
2547:
2546:
2526:
2524:
2513:
2510:
2509:
2508:
2503:
2497:
2491:
2489:Cell processor
2486:
2484:Apple A series
2476:
2473:
2434:
2433:
2427:
2421:
2382:
2381:
2340:
2338:
2331:
2325:
2322:
2297:
2294:
2265:
2262:
2222:
2219:
2188:§ Latency
2172:
2169:
2155:
2154:
2134:
2132:
2125:
2105:
2102:
2061:
2060:
2040:
2038:
2027:
2024:
2014:
2013:
1993:
1991:
1980:
1977:
1953:design margins
1870:Main article:
1867:
1864:
1848:edge computing
1833:
1830:
1756:
1749:
1745:
1740:
1735:
1730:
1726:
1720:
1717:
1714:
1711:
1708:
1638:
1635:
1633:
1630:
1563:
1560:
1439:
1436:
1342:device drivers
1297:Systems design
1277:
1276:
1235:
1233:
1226:
1220:
1217:
1161:network layers
1145:bus contention
1102:Main article:
1099:
1096:
1069:device drivers
1042:
1039:
1029:technologies,
1010:
1007:
995:power-on reset
960:timing sources
955:
952:
873:
870:
774:
771:
767:memory latency
672:
669:
637:microprocessor
629:processor core
624:
621:
619:
616:
595:
592:
549:
546:
545:
544:
543:
542:
530:
529:
528:
504:
503:
502:
467:digital camera
436:
433:
432:
431:
430:
429:
426:
423:
420:
408:edge computing
384:machine vision
371:
368:
355:
352:
351:
350:
343:
340:microprocessor
336:
313:
310:
306:edge computing
275:replaceability
240:microprocessor
66:system-on-chip
28:
24:
14:
13:
10:
9:
6:
4:
3:
2:
8013:
8002:
7999:
7997:
7994:
7992:
7989:
7987:
7984:
7982:
7979:
7977:
7974:
7972:
7969:
7968:
7966:
7951:
7948:
7946:
7943:
7939:
7936:
7935:
7934:
7931:
7929:
7926:
7922:
7919:
7917:
7914:
7913:
7912:
7909:
7907:
7904:
7903:
7901:
7897:
7891:
7888:
7886:
7883:
7881:
7878:
7876:
7875:Heterogeneous
7873:
7871:
7868:
7866:
7863:
7861:
7858:
7856:
7853:
7852:
7850:
7848:
7847:Architectures
7844:
7836:
7833:
7832:
7831:
7828:
7826:
7823:
7821:
7818:
7816:
7813:
7809:
7806:
7805:
7804:
7801:
7800:
7798:
7794:
7788:
7785:
7783:
7780:
7776:
7773:
7772:
7771:
7768:
7766:
7763:
7759:
7756:
7755:
7754:
7751:
7749:
7746:
7744:
7741:
7739:
7736:
7734:
7731:
7727:
7724:
7722:
7719:
7718:
7717:
7714:
7713:
7711:
7707:
7701:
7698:
7696:
7693:
7691:
7688:
7687:
7685:
7683:
7679:
7675:
7668:
7663:
7661:
7656:
7654:
7649:
7648:
7645:
7633:
7625:
7623:
7615:
7613:
7605:
7604:
7601:
7595:
7592:
7590:
7587:
7585:
7582:
7580:
7577:
7575:
7572:
7570:
7567:
7565:
7562:
7560:
7557:
7555:
7552:
7550:
7547:
7545:
7542:
7540:
7537:
7535:
7532:
7530:
7527:
7525:
7522:
7520:
7517:
7515:
7512:
7510:
7507:
7505:
7502:
7500:
7497:
7496:
7494:
7490:
7484:
7481:
7479:
7476:
7474:
7471:
7469:
7468:Mixed reality
7466:
7464:
7461:
7459:
7456:
7454:
7451:
7449:
7446:
7445:
7443:
7441:
7437:
7431:
7428:
7426:
7423:
7421:
7418:
7416:
7413:
7411:
7408:
7407:
7405:
7403:
7399:
7393:
7390:
7388:
7385:
7383:
7380:
7378:
7375:
7373:
7370:
7368:
7365:
7363:
7360:
7358:
7355:
7354:
7352:
7350:
7346:
7340:
7337:
7335:
7332:
7330:
7327:
7325:
7322:
7320:
7317:
7316:
7314:
7312:
7308:
7302:
7301:Accessibility
7299:
7297:
7296:Visualization
7294:
7292:
7289:
7287:
7284:
7282:
7279:
7278:
7276:
7274:
7270:
7264:
7261:
7259:
7256:
7254:
7251:
7249:
7246:
7244:
7241:
7239:
7236:
7234:
7231:
7229:
7226:
7224:
7221:
7220:
7218:
7216:
7212:
7206:
7203:
7201:
7198:
7196:
7193:
7191:
7188:
7186:
7183:
7181:
7178:
7176:
7173:
7171:
7168:
7166:
7163:
7161:
7158:
7156:
7153:
7151:
7148:
7146:
7143:
7141:
7138:
7137:
7135:
7133:
7129:
7123:
7120:
7118:
7115:
7113:
7110:
7108:
7105:
7103:
7100:
7098:
7095:
7093:
7090:
7088:
7085:
7084:
7082:
7080:
7075:
7069:
7066:
7064:
7061:
7059:
7056:
7054:
7051:
7049:
7046:
7045:
7043:
7041:
7037:
7031:
7028:
7026:
7023:
7021:
7018:
7016:
7013:
7011:
7008:
7006:
7003:
7001:
6998:
6997:
6995:
6993:
6989:
6983:
6980:
6978:
6975:
6973:
6970:
6968:
6965:
6963:
6960:
6958:
6955:
6953:
6950:
6948:
6945:
6943:
6940:
6938:
6935:
6934:
6932:
6930:
6926:
6920:
6917:
6915:
6912:
6910:
6907:
6905:
6902:
6900:
6897:
6895:
6892:
6890:
6887:
6885:
6882:
6880:
6877:
6875:
6872:
6871:
6869:
6867:
6863:
6859:
6853:
6850:
6848:
6845:
6843:
6840:
6838:
6835:
6833:
6830:
6829:
6827:
6823:
6817:
6814:
6812:
6809:
6807:
6804:
6802:
6799:
6797:
6794:
6792:
6789:
6788:
6786:
6784:
6780:
6774:
6771:
6769:
6766:
6764:
6763:Dependability
6761:
6759:
6756:
6754:
6751:
6750:
6748:
6744:
6738:
6734:
6731:
6729:
6726:
6724:
6721:
6719:
6716:
6714:
6711:
6709:
6706:
6704:
6701:
6699:
6696:
6694:
6691:
6689:
6686:
6685:
6683:
6681:
6677:
6672:
6666:
6662:
6655:
6650:
6648:
6643:
6641:
6636:
6635:
6632:
6612:
6609:
6607:
6604:
6600:
6597:
6595:
6592:
6591:
6590:
6587:
6583:
6580:
6579:
6578:
6575:
6573:
6570:
6568:
6567:LatticeMico32
6565:
6563:
6560:
6559:
6557:
6555:
6551:
6545:
6542:
6540:
6537:
6535:
6532:
6530:
6527:
6525:
6522:
6520:
6517:
6515:
6512:
6510:
6507:
6506:
6504:
6502:
6498:
6495:
6493:
6487:
6481:
6478:
6476:
6473:
6471:
6468:
6466:
6463:
6461:
6458:
6456:
6453:
6452:
6450:
6446:
6440:
6437:
6435:
6432:
6430:
6427:
6426:
6424:
6420:
6417:
6413:
6407:
6404:
6402:
6399:
6397:
6394:
6392:
6389:
6387:
6384:
6382:
6379:
6377:
6374:
6372:
6369:
6367:
6364:
6362:
6359:
6357:
6354:
6352:
6349:
6347:
6344:
6342:
6339:
6338:
6336:
6332:
6326:
6323:
6321:
6318:
6316:
6313:
6311:
6308:
6306:
6303:
6301:
6298:
6296:
6293:
6291:
6288:
6286:
6283:
6281:
6278:
6276:
6273:
6271:
6268:
6266:
6263:
6261:
6258:
6256:
6253:
6249:
6246:
6245:
6244:
6243:SystemVerilog
6241:
6237:
6234:
6232:
6229:
6228:
6227:
6224:
6220:
6217:
6215:
6212:
6211:
6210:
6207:
6206:
6204:
6202:
6198:
6192:
6189:
6187:
6184:
6182:
6179:
6177:
6174:
6170:
6167:
6166:
6165:
6162:
6160:
6157:
6155:
6152:
6150:
6147:
6145:
6142:
6140:
6137:
6135:
6132:
6128:
6125:
6124:
6123:
6120:
6118:
6115:
6113:
6110:
6109:
6107:
6103:
6099:
6092:
6087:
6085:
6080:
6078:
6073:
6072:
6069:
6057:
6054:
6052:
6051:Apache Hadoop
6049:
6048:
6046:
6042:
6032:
6029:
6026:
6023:
6020:
6017:
6015:
6012:
6010:
6007:
6006:
6004:
6002:
5998:
5994:
5988:
5985:
5984:
5982:
5980:
5976:
5970:
5967:
5965:
5962:
5960:
5957:
5955:
5952:
5950:
5947:
5945:
5942:
5940:
5937:
5935:
5932:
5930:
5929:HiSiliconK3V3
5927:
5925:
5922:
5920:
5917:
5915:
5912:
5910:
5907:
5905:
5902:
5900:
5897:
5896:
5894:
5892:
5888:
5885:
5883:
5879:
5873:
5870:
5868:
5865:
5863:
5860:
5858:
5855:
5853:
5850:
5848:
5845:
5843:
5840:
5838:
5835:
5833:
5830:
5828:
5825:
5823:
5822:Nvidia Jetson
5820:
5818:
5815:
5813:
5810:
5808:
5805:
5803:
5800:
5798:
5795:
5793:
5790:
5788:
5785:
5783:
5780:
5778:
5775:
5773:
5770:
5768:
5765:
5763:
5760:
5758:
5755:
5753:
5750:
5748:
5747:Arndale Board
5745:
5743:
5740:
5739:
5737:
5733:
5729:
5725:
5718:
5713:
5711:
5706:
5704:
5699:
5698:
5695:
5683:
5680:
5678:
5675:
5673:
5670:
5668:
5665:
5663:
5660:
5658:
5655:
5653:
5650:
5648:
5645:
5643:
5640:
5639:
5637:
5633:
5626:
5623:
5621:
5618:
5616:
5613:
5611:
5608:
5606:
5603:
5601:
5598:
5596:
5593:
5592:
5590:
5588:
5582:
5572:
5569:
5567:
5564:
5562:
5559:
5557:
5554:
5552:
5549:
5545:
5542:
5540:
5537:
5535:
5532:
5531:
5530:
5527:
5526:
5524:
5522:
5518:
5512:
5509:
5505:
5502:
5500:
5497:
5496:
5495:
5492:
5488:
5485:
5484:
5483:
5480:
5478:
5475:
5473:
5472:Demultiplexer
5470:
5468:
5465:
5464:
5462:
5460:
5456:
5450:
5447:
5445:
5442:
5439:
5437:
5434:
5432:
5429:
5427:
5424:
5422:
5419:
5418:
5416:
5414:
5410:
5404:
5401:
5399:
5396:
5394:
5393:Memory buffer
5391:
5389:
5388:Register file
5386:
5384:
5381:
5379:
5376:
5374:
5371:
5370:
5368:
5366:
5362:
5354:
5351:
5349:
5346:
5345:
5344:
5341:
5339:
5336:
5334:
5331:
5329:
5328:Combinational
5326:
5325:
5323:
5321:
5317:
5311:
5308:
5304:
5301:
5300:
5298:
5295:
5293:
5290:
5288:
5285:
5280:
5277:
5275:
5272:
5271:
5269:
5266:
5263:
5260:
5257:
5254:
5251:
5248:
5247:
5245:
5243:
5237:
5231:
5228:
5226:
5223:
5221:
5218:
5216:
5213:
5209:
5206:
5204:
5201:
5199:
5196:
5194:
5191:
5189:
5186:
5184:
5181:
5180:
5179:
5176:
5174:
5171:
5170:
5168:
5164:
5158:
5155:
5153:
5150:
5148:
5145:
5143:
5140:
5139:
5137:
5133:
5125:
5122:
5121:
5120:
5117:
5115:
5112:
5110:
5107:
5105:
5102:
5100:
5097:
5095:
5092:
5090:
5087:
5085:
5082:
5080:
5077:
5075:
5072:
5070:
5067:
5065:
5062:
5060:
5057:
5055:
5052:
5050:
5047:
5046:
5044:
5042:
5038:
5028:
5025:
5023:
5020:
5018:
5015:
5012:
5009:
5006:
5003:
5000:
4997:
4994:
4991:
4989:
4986:
4983:
4980:
4978:
4975:
4973:
4970:
4969:
4967:
4965:
4959:
4952:
4949:
4947:
4944:
4941:
4938:
4935:
4932:
4931:
4929:
4923:
4917:
4914:
4912:
4909:
4907:
4904:
4902:
4899:
4897:
4894:
4892:
4889:
4887:
4884:
4883:
4881:
4877:
4870:
4867:
4864:
4861:
4858:
4855:
4853:
4850:
4848:
4845:
4843:
4840:
4838:
4835:
4833:
4830:
4828:
4825:
4823:
4820:
4818:
4815:
4813:
4810:
4808:
4805:
4801:
4798:
4797:
4795:
4792:
4789:
4786:
4785:
4783:
4781:
4777:
4771:
4768:
4766:
4763:
4760:
4757:
4754:
4751:
4748:
4745:
4742:
4739:
4736:
4733:
4728:
4725:
4724:
4722:
4719:
4717:
4714:
4713:
4711:
4709:
4703:
4691:
4688:
4687:
4686:
4683:
4681:
4678:
4674:
4671:
4669:
4666:
4664:
4661:
4659:
4656:
4655:
4654:
4651:
4649:
4646:
4645:
4643:
4641:
4637:
4631:
4628:
4626:
4623:
4621:
4618:
4614:
4611:
4609:
4606:
4605:
4604:
4601:
4599:
4596:
4595:
4593:
4591:
4587:
4581:
4578:
4576:
4573:
4569:
4566:
4565:
4564:
4561:
4557:
4554:
4552:
4549:
4548:
4547:
4544:
4540:
4537:
4535:
4532:
4531:
4530:
4527:
4525:
4522:
4518:
4515:
4513:
4510:
4509:
4508:
4505:
4504:
4502:
4498:
4495:
4493:
4489:
4479:
4476:
4474:
4471:
4470:
4468:
4466:
4462:
4456:
4453:
4451:
4448:
4444:
4441:
4439:
4436:
4435:
4434:
4431:
4429:
4428:Scoreboarding
4426:
4425:
4423:
4421:
4417:
4411:
4410:False sharing
4408:
4406:
4403:
4401:
4398:
4396:
4393:
4392:
4390:
4388:
4384:
4378:
4375:
4373:
4370:
4368:
4365:
4364:
4362:
4360:
4356:
4353:
4351:
4347:
4337:
4334:
4332:
4329:
4327:
4324:
4321:
4317:
4314:
4312:
4309:
4307:
4304:
4302:
4299:
4298:
4296:
4294:
4291:
4289:
4286:
4284:
4281:
4279:
4276:
4274:
4271:
4269:
4266:
4264:
4261:
4259:
4256:
4254:
4251:
4249:
4246:
4244:
4241:
4239:
4236:
4232:
4229:
4227:
4224:
4222:
4219:
4218:
4216:
4214:
4211:
4209:
4206:
4204:
4203:Stanford MIPS
4201:
4199:
4196:
4194:
4191:
4189:
4186:
4184:
4181:
4179:
4176:
4175:
4173:
4167:
4159:
4156:
4155:
4154:
4151:
4149:
4146:
4144:
4141:
4139:
4136:
4134:
4131:
4129:
4126:
4124:
4121:
4117:
4114:
4113:
4112:
4109:
4105:
4102:
4101:
4100:
4097:
4095:
4092:
4090:
4087:
4085:
4082:
4080:
4077:
4076:
4074:
4070:
4067:
4065:
4064:architectures
4059:
4053:
4050:
4048:
4045:
4043:
4040:
4038:
4035:
4033:
4032:Heterogeneous
4030:
4026:
4023:
4021:
4018:
4017:
4016:
4013:
4011:
4008:
4004:
4001:
3999:
3996:
3994:
3991:
3989:
3986:
3985:
3984:
3983:Memory access
3981:
3979:
3976:
3974:
3971:
3969:
3966:
3964:
3961:
3957:
3954:
3953:
3952:
3949:
3947:
3944:
3942:
3939:
3938:
3936:
3934:
3930:
3922:
3919:
3917:
3916:Random-access
3914:
3912:
3909:
3907:
3904:
3903:
3902:
3899:
3897:
3896:Stack machine
3894:
3892:
3889:
3885:
3882:
3880:
3877:
3875:
3872:
3870:
3867:
3865:
3862:
3860:
3857:
3855:
3852:
3850:
3847:
3846:
3845:
3842:
3838:
3835:
3833:
3830:
3828:
3825:
3823:
3820:
3818:
3815:
3813:
3812:with datapath
3810:
3809:
3808:
3805:
3803:
3800:
3798:
3795:
3794:
3792:
3790:
3786:
3782:
3775:
3770:
3768:
3763:
3761:
3756:
3755:
3752:
3740:
3737:
3735:
3732:
3730:
3727:
3725:
3722:
3720:
3717:
3714:
3711:
3709:
3706:
3702:
3699:
3697:
3694:
3693:
3692:
3689:
3688:
3686:
3682:
3675:
3672:
3669:
3666:
3663:
3660:
3659:
3657:
3653:
3646:
3643:
3640:
3637:
3634:
3631:
3628:
3625:
3624:
3622:
3618:
3612:
3609:
3607:
3604:
3602:
3599:
3597:
3594:
3591:
3588:
3584:
3581:
3579:
3576:
3575:
3574:
3571:
3570:
3568:
3564:
3559:
3552:
3547:
3545:
3540:
3538:
3533:
3532:
3529:
3523:
3520:
3518:
3515:
3512:
3509:
3507:
3503:
3499:
3496:
3493:
3490:
3487:
3483:
3480:
3479:
3475:
3469:
3465:
3461:
3455:
3451:
3446:
3442:
3440:0-201-67519-6
3436:
3432:
3431:
3425:
3420:
3416:
3412:
3406:
3402:
3398:
3397:
3391:
3390:
3386:
3370:
3366:
3360:
3357:
3353:
3349:
3344:
3341:
3328:
3324:
3318:
3315:
3310:
3304:
3300:
3296:
3295:
3287:
3284:
3279:
3275:
3271:
3265:
3261:
3254:
3252:
3250:
3248:
3244:
3240:
3234:
3231:
3219:
3215:
3209:
3206:
3194:
3193:Tayden Design
3187:
3180:
3177:
3173:
3160:
3156:
3150:
3147:
3143:
3139:
3134:
3131:
3119:
3118:
3113:
3106:
3103:
3090:
3086:
3080:
3077:
3072:
3068:
3064:
3058:
3054:
3047:
3045:
3043:
3041:
3039:
3037:
3035:
3031:
3026:
3022:
3018:
3012:
3008:
3004:
2997:
2995:
2993:
2991:
2989:
2985:
2980:
2976:
2972:
2970:0-201-67519-6
2966:
2962:
2955:
2953:
2951:
2947:
2935:
2931:
2925:
2922:
2910:
2906:
2900:
2897:
2885:
2881:
2875:
2872:
2867:
2863:
2857:
2854:
2842:
2838:
2832:
2829:
2817:
2813:
2807:
2804:
2796:September 25,
2792:
2788:
2781:
2778:
2774:
2770:
2764:
2761:
2749:
2745:
2741:
2734:
2731:
2726:
2725:Network World
2722:
2715:
2712:
2705:
2697:
2693:
2689:
2685:
2681:
2677:
2673:
2669:
2663:
2660:
2653:
2649:
2646:
2643:
2640:
2638:
2635:
2633:
2630:
2627:
2624:
2622:
2621:Lab-on-a-chip
2619:
2617:
2614:
2611:
2608:
2606:
2603:
2601:
2598:
2596:
2593:
2591:
2588:
2586:
2583:
2581:
2578:
2576:
2573:
2571:
2568:
2566:
2563:
2562:
2558:
2556:
2554:
2543:
2534:
2530:
2527:This section
2525:
2522:
2518:
2517:
2511:
2507:
2504:
2501:
2498:
2495:
2492:
2490:
2487:
2485:
2482:
2481:
2480:
2474:
2472:
2469:
2465:
2461:
2456:
2454:
2450:
2446:
2441:
2438:
2431:
2428:
2425:
2424:Standard cell
2422:
2420:
2417:
2414:
2413:
2412:
2409:
2405:
2403:
2399:
2395:
2389:
2378:
2375:
2367:
2357:
2353:
2347:
2346:
2341:This section
2339:
2335:
2330:
2329:
2323:
2321:
2319:
2316:of the SoC's
2315:
2311:
2307:
2306:discrete time
2303:
2302:Markov chains
2296:Markov chains
2295:
2293:
2291:
2287:
2283:
2279:
2278:Markov chains
2275:
2271:
2263:
2261:
2259:
2255:
2251:
2247:
2243:
2239:
2235:
2228:
2220:
2218:
2216:
2212:
2207:
2205:
2201:
2197:
2193:
2190:and increase
2189:
2185:
2181:
2177:
2170:
2168:
2166:
2162:
2151:
2142:
2138:
2135:This section
2133:
2129:
2124:
2123:
2119:
2115:
2111:
2104:Methodologies
2103:
2101:
2099:
2095:
2090:
2088:
2084:
2080:
2076:
2072:
2068:
2057:
2048:
2044:
2041:This section
2039:
2036:
2032:
2031:
2025:
2023:
2021:
2010:
2001:
1997:
1994:This section
1992:
1989:
1985:
1984:
1978:
1976:
1974:
1970:
1966:
1962:
1958:
1954:
1949:
1947:
1943:
1939:
1934:
1932:
1931:metastability
1928:
1924:
1920:
1916:
1912:
1908:
1904:
1900:
1899:power density
1896:
1892:
1889:
1883:
1879:
1873:
1865:
1863:
1861:
1857:
1853:
1849:
1845:
1839:
1831:
1829:
1827:
1823:
1819:
1815:
1811:
1807:
1803:
1799:
1795:
1791:
1787:
1783:
1779:
1775:
1771:
1754:
1747:
1743:
1738:
1733:
1728:
1724:
1718:
1715:
1712:
1709:
1706:
1698:
1696:
1692:
1688:
1684:
1680:
1676:
1672:
1668:
1664:
1660:
1656:
1652:
1648:
1644:
1636:
1631:
1629:
1627:
1623:
1618:
1616:
1612:
1608:
1604:
1600:
1596:
1592:
1588:
1583:
1581:
1577:
1573:
1569:
1561:
1559:
1557:
1553:
1550:and precedes
1549:
1545:
1541:
1537:
1533:
1528:
1524:
1520:
1518:
1514:
1510:
1506:
1502:
1498:
1494:
1489:
1487:
1483:
1479:
1475:
1471:
1470:SystemVerilog
1467:
1463:
1459:
1455:
1449:
1445:
1437:
1435:
1433:
1429:
1425:
1421:
1416:
1414:
1410:
1406:
1402:
1398:
1394:
1390:
1386:
1382:
1378:
1374:
1369:
1367:
1363:
1359:
1355:
1351:
1347:
1343:
1339:
1335:
1330:
1328:
1324:
1320:
1316:
1307:
1302:
1298:
1292:
1288:
1284:
1273:
1270:
1262:
1252:
1248:
1242:
1241:
1236:This section
1234:
1230:
1225:
1224:
1218:
1216:
1214:
1210:
1209:floorplanning
1204:
1202:
1198:
1194:
1190:
1186:
1185:tree networks
1182:
1178:
1174:
1170:
1166:
1162:
1158:
1154:
1150:
1146:
1142:
1137:
1135:
1131:
1127:
1123:
1119:
1115:
1111:
1105:
1097:
1095:
1093:
1089:
1085:
1081:
1076:
1074:
1070:
1066:
1062:
1058:
1054:
1052:
1048:
1040:
1038:
1036:
1032:
1028:
1027:microcomputer
1024:
1020:
1016:
1008:
1006:
1004:
1000:
996:
992:
988:
984:
979:
977:
973:
969:
965:
964:clock signals
961:
953:
951:
949:
945:
941:
937:
932:
930:
926:
922:
918:
914:
910:
906:
902:
898:
897:data analysis
894:
890:
886:
882:
878:
871:
869:
867:
863:
859:
855:
851:
847:
843:
839:
834:
832:
828:
824:
820:
816:
812:
808:
804:
800:
796:
792:
788:
784:
780:
772:
770:
768:
764:
760:
756:
752:
748:
744:
740:
736:
732:
728:
724:
720:
716:
712:
708:
704:
700:
696:
692:
688:
684:
678:
670:
668:
666:
663:specified as
662:
658:
654:
650:
646:
642:
638:
634:
630:
622:
617:
615:
613:
609:
608:software code
605:
601:
593:
591:
589:
585:
581:
577:
574:
570:
565:
563:
559:
556:produced the
555:
547:
540:
537:
536:
534:
531:
526:
522:
518:
514:
511:
510:
508:
505:
500:
496:
493:
492:
491:
487:
483:
480:
479:
478:
476:
472:
468:
464:
460:
456:
450:
446:
441:
434:
427:
424:
421:
419:Zynq 7000 SoC
418:
417:
416:
413:
412:
411:
409:
405:
401:
397:
393:
389:
385:
381:
377:
369:
367:
365:
361:
353:
348:
344:
341:
337:
334:
330:
329:
328:
322:
318:
311:
309:
307:
303:
299:
295:
294:smart devices
291:
286:
284:
280:
276:
272:
268:
263:
261:
257:
253:
249:
245:
241:
237:
233:
228:
226:
222:
218:
214:
210:
206:
201:
199:
196:
192:
188:
184:
180:
176:
172:
168:
164:
160:
156:
152:
148:
144:
138:
106:
100:
71:
67:
63:
56:
52:
47:
40:
36:
32:
27:
19:
7890:Neuromorphic
7829:
7753:Cryptography
7709:Applications
7564:Cyberwarfare
7223:Cryptography
6707:
6524:LatticeMico8
6514:ARM Cortex-M
6490:Intellectual
6116:
5881:
5857:Raspberry Pi
5812:Nvidia Drive
5767:Cotton Candy
5682:Chip carrier
5620:Clock gating
5539:Mixed-signal
5436:Write buffer
5413:Control unit
5225:Clock signal
4964:accelerators
4946:Cypress PSoC
4933:
4603:Simultaneous
4420:Out-of-order
4052:Neuromorphic
3933:Architecture
3891:Belt machine
3884:Zeno machine
3817:Hierarchical
3655:Alternatives
3557:
3449:
3429:
3395:
3372:. Retrieved
3368:
3359:
3343:
3331:. Retrieved
3326:
3317:
3293:
3286:
3259:
3233:
3221:. Retrieved
3217:
3208:
3196:. Retrieved
3192:
3179:
3170:
3163:. Retrieved
3158:
3149:
3133:
3121:. Retrieved
3115:
3105:
3093:. Retrieved
3088:
3079:
3052:
3002:
2960:
2937:. Retrieved
2933:
2924:
2912:. Retrieved
2908:
2899:
2887:. Retrieved
2883:
2874:
2865:
2856:
2844:. Retrieved
2840:
2831:
2819:. Retrieved
2815:
2806:
2794:. Retrieved
2790:
2780:
2763:
2753:December 17,
2751:. Retrieved
2744:Ars Technica
2743:
2733:
2724:
2714:
2692:Raspberry Pi
2690:such as the
2662:
2605:Cypress PSoC
2550:
2540:October 2018
2537:
2533:adding to it
2528:
2478:
2457:
2449:lower yields
2442:
2439:
2435:
2410:
2406:
2391:
2370:
2361:
2350:Please help
2345:verification
2342:
2299:
2282:Little's law
2267:
2231:
2217:algorithms.
2208:
2174:
2158:
2148:October 2018
2145:
2141:adding to it
2136:
2091:
2064:
2054:October 2018
2051:
2047:adding to it
2042:
2017:
2007:October 2018
2004:
2000:adding to it
1995:
1950:
1935:
1927:wire bonding
1917:, decreased
1885:
1841:
1786:smartwatches
1699:
1679:average rate
1647:battery life
1640:
1619:
1584:
1565:
1529:
1525:
1521:
1490:
1451:
1420:architecture
1417:
1370:
1331:
1312:
1265:
1256:
1245:Please help
1240:verification
1237:
1205:
1201:time to live
1138:
1107:
1077:
1061:control unit
1055:
1053:) standard.
1047:computer bus
1044:
1019:instructions
1012:
980:
962:to generate
957:
948:convolutions
933:
875:
860:, including
848:, often for
835:
776:
719:flash memory
680:
626:
602:, including
597:
566:
551:
471:flash memory
453:
373:
357:
354:Applications
345:Specialized
326:
287:
264:
256:coprocessors
229:
223:(especially
202:
191:mixed-signal
171:radio modems
163:input/output
161:interfaces,
104:
69:
65:
61:
59:
55:Raspberry Pi
31:
26:
7574:Video games
7554:Digital art
7311:Concurrency
7180:Data mining
7092:Probability
6832:Interpreter
6554:Open-source
6501:Proprietary
6310:Flow to HDL
6127:Logic block
5969:WonderMedia
5762:BeagleBoard
5467:Multiplexer
5431:Data buffer
5142:Single-core
5114:bit slicing
4972:Coprocessor
4827:Coprocessor
4708:performance
4630:Cooperative
4620:Speculative
4580:Distributed
4539:Superscalar
4524:Instruction
4492:Parallelism
4465:Speculative
4297:System/3x0
4169:Instruction
3946:Von Neumann
3859:Post–Turing
3583:controllers
3511:Instant SoC
3504:seminar in
3333:October 17,
2939:October 13,
2846:October 13,
2821:October 13,
2696:peripherals
2682:such as an
2451:and higher
2416:Full custom
2324:Fabrication
2083:NP-complete
1969:heat fluxes
1911:reliability
1905:to further
1784:(including
1774:smartphones
1657:SoCs being
1651:smartphones
1401:flow to HDL
1360:tools; the
1323:design flow
1219:Design flow
1134:bottlenecks
983:peripherals
903:(VLIW) and
743:main memory
737:and cores'
727:dynamic RAM
569:integration
382:, embedded
298:smartphones
260:peripherals
7965:Categories
7921:chronology
7782:Networking
7632:Glossaries
7504:E-commerce
7097:Statistics
7040:Algorithms
6837:Middleware
6693:Peripheral
6529:MicroBlaze
6480:Simulators
6460:Xilinx ISE
5847:Parallella
5837:PandaBoard
5807:LattePanda
5777:Cubieboard
5587:management
5482:Multiplier
5343:Logic gate
5333:Sequential
5240:Functional
5220:Clock rate
5193:Data cache
5166:Components
5147:Multi-core
5135:Core count
4625:Preemptive
4529:Pipelining
4512:Bit-serial
4455:Wide-issue
4400:Structural
4322:Tilera ISA
4288:MicroBlaze
4258:ETRAX CRIS
4153:Comparison
3998:Load–store
3978:Endianness
3696:chronology
3566:Components
3423:465 pages.
3374:October 8,
3327:numato.com
3223:October 7,
3198:October 7,
3123:October 8,
3095:October 8,
2914:October 6,
2889:October 6,
2748:Conde Nast
2706:References
2512:Benchmarks
2468:waste heat
2394:fabricated
2364:March 2017
2221:Pipelining
2071:laying out
2020:throughput
1979:Throughput
1903:bottleneck
1888:waste heat
1876:See also:
1866:Waste heat
1836:See also:
1780:, digital
1695:resistance
1667:waste heat
1615:trade-offs
1603:heuristics
1570:, area on
1540:glue logic
1432:glue logic
1295:See also:
1259:March 2017
1128:known as "
1065:throughput
1005:circuits.
985:including
779:interfaces
773:Interfaces
747:multi-core
723:static RAM
689:and other
582:and other
525:Windows 10
513:Snapdragon
232:integrates
193:and often
7911:Processor
7865:Multicore
7453:Rendering
7448:Animation
7079:computing
7030:Semantics
6728:Processor
6599:Microwatt
6594:Libre-SOC
6589:Power ISA
6572:OpenCores
6534:PicoBlaze
6341:Accellera
6334:Companies
6201:Languages
5904:Allwinner
5832:OLinuXino
5797:Hawkboard
5757:Banana Pi
5521:Circuitry
5441:Microcode
5365:Registers
5208:coherence
5183:CPU cache
5041:Word size
4706:Processor
4350:Execution
4253:DEC Alpha
4231:Power ISA
4047:Cognitive
3854:Universal
3691:Processor
3468:895661009
3278:934678500
3172:meanings.
3165:April 30,
3089:EEJournal
3071:895661009
3025:869378184
2180:processes
1691:Ohm's law
1649:(such as
1568:power use
1519:(ASICs).
1513:debugging
1493:emulation
1418:Once the
1177:hypercube
1114:bus-based
889:actuators
858:actuators
823:Bluetooth
703:low-power
643:(DSP) or
606:that run
594:Structure
552:In 1992,
539:Dimensity
447:SC450 in
392:telemetry
308:markets.
227:modems).
185:and also
179:substrate
149:or other
7870:Manycore
7855:Dataflow
7808:C to HDL
7612:Category
7440:Graphics
7215:Security
6884:Compiler
6783:Networks
6680:Hardware
6577:OpenRISC
6492:property
6470:ModelSim
6448:Software
6422:Hardware
6415:Products
6401:Synopsys
6371:Infineon
6346:Achronix
6305:C to HDL
6300:OpenVera
6265:Handel-C
6105:Concepts
6044:Software
6009:AMD Élan
5954:Rockchip
5944:NovaThor
5934:MediaTek
5914:Apple M1
5862:Snowball
5459:Datapath
5152:Manycore
5124:variable
4962:Hardware
4598:Temporal
4278:OpenRISC
3973:Cellular
3963:Dataflow
3956:modified
3419:50478525
3365:"COSMIC"
3348:EE Times
3138:EE Times
3117:EE Times
3007:Springer
2979:44267964
2934:Qualcomm
2816:Embedded
2769:EE Times
2559:See also
2494:Adapteva
2475:Examples
2075:locality
1901:are the
1818:3D video
1790:netbooks
1772:such as
1671:integral
1655:embedded
1576:locality
1558:(ASIC).
1552:tape-out
1501:tape-out
1482:OpenVera
1413:IP cores
1397:C to HDL
1375:such as
1315:hardware
1195:such as
1171:such as
1155:and the
1092:manycore
1031:data bus
915:through
791:Ethernet
665:IP cores
573:firmware
533:MediaTek
523:running
507:Qualcomm
445:AMD Élan
296:such as
147:computer
141:) is an
51:Broadcom
39:Apple M1
7899:Related
7726:DirectX
7622:Outline
6544:Nios II
6434:Stratix
6396:Siemens
6381:Lattice
6366:Cadence
6255:SystemC
6209:Verilog
5939:Nomadik
5899:Actions
5817:Nano Pi
5792:Gumstix
5787:Galileo
5742:Arduino
5735:Devices
5635:Related
5566:Quantum
5556:Digital
5551:Boolean
5449:Counter
5348:Quantum
5109:512-bit
5104:256-bit
5099:128-bit
4942:(MPSoC)
4927:on chip
4925:Systems
4743:(FLOPS)
4556:Process
4405:Control
4387:Hazards
4273:Itanium
4268:Unicore
4226:PowerPC
3951:Harvard
3911:Pointer
3906:Counter
3864:Quantum
3684:Related
3635:(MPSoC)
3484:Annual
2684:Arduino
2565:Chiplet
2464:package
2455:costs.
2304:, both
2238:speedup
2184:threads
2067:latency
2026:Latency
1814:quality
1782:watches
1687:voltage
1683:current
1632:Targets
1591:NP-hard
1544:printed
1536:netlist
1474:SystemC
1426:termed
1385:SystemC
1203:(TTL).
1141:routing
1124:-based
987:counter
907:(SIMD)
885:sensors
866:modules
854:sensors
827:6LoWPAN
709:(ROM),
576:modules
292:(as in
279:modules
230:An SoC
183:digital
157:(CPU),
7916:design
7775:scrypt
7682:Theory
6606:RISC-V
6465:Vivado
6439:Virtex
6325:Chisel
6285:PALASM
6169:Xputer
6056:Linaro
6027:-based
6021:-based
6019:Jaguar
6001:x86-64
5919:Exynos
5872:Vaaman
5852:Rascal
5842:Pine64
5827:ODROID
5802:IGEPv2
5782:Edison
5571:Switch
5561:Analog
5299:(IMC)
5270:(MMU)
5119:others
5094:64-bit
5089:48-bit
5084:32-bit
5079:24-bit
5074:16-bit
5069:15-bit
5064:12-bit
4901:Mobile
4817:Stream
4812:Barrel
4807:Vector
4796:(GPU)
4755:(SUPS)
4723:(IPC)
4575:Memory
4568:Vector
4551:Thread
4534:Scalar
4336:Others
4283:RISC-V
4248:SuperH
4217:Power
4213:MIPS-X
4188:PDP-11
4037:Fabric
3789:Models
3701:design
3641:(PSoC)
3466:
3456:
3437:
3417:
3407:
3305:
3276:
3266:
3069:
3059:
3023:
3013:
2977:
2967:
2866:iFixit
2612:(ASIP)
2585:RISC-V
2500:Xilinx
2432:(FPGA)
2396:using
2276:, and
2116:, and
1891:output
1788:) and
1624:, see
1480:, and
1381:MATLAB
1289:, and
1181:meshes
1122:router
991:timers
946:, and
838:analog
717:) and
715:EEPROM
671:Memory
639:(μP),
578:, and
499:Galaxy
495:Exynos
459:caches
304:) and
221:modems
187:analog
173:and a
159:memory
103:; pl.
7733:Audio
7721:GPGPU
7025:Logic
6866:tools
6376:Intel
6356:Aldec
6315:MyHDL
6236:VITAL
6031:Quark
5964:Tegra
5627:(PPW)
5585:Power
5477:Adder
5353:Array
5320:Logic
5281:(TLB)
5264:(FPU)
5258:(AGU)
5252:(ALU)
5242:units
5178:Cache
5059:8-bit
5054:4-bit
5049:1-bit
5013:(TPU)
5007:(DSP)
5001:(PPU)
4995:(VPU)
4984:(GPU)
4953:(NoC)
4936:(SoC)
4871:(PoP)
4865:(SiP)
4859:(MCM)
4800:GPGPU
4790:(CPU)
4780:Types
4761:(PPW)
4749:(TPS)
4737:(IPS)
4729:(CPI)
4500:Level
4311:S/390
4306:S/370
4301:S/360
4243:SPARC
4221:POWER
4104:TRIPS
4072:Types
3715:(DSP)
3676:(PoP)
3670:(SiP)
3664:(MCM)
3647:(MCU)
3629:(NoC)
3620:Types
3592:(GPU)
3578:cores
3560:(SoC)
3189:(PDF)
2654:Notes
2094:tasks
1824:with
1675:power
1609:, so
1468:like
1173:torus
1151:like
954:Other
819:Wi-Fi
795:USART
731:cache
312:Types
248:Wi-Fi
205:LPDDR
53:in a
7825:CPLD
7820:ASIC
7815:FPGA
7787:Data
6864:and
6737:Form
6733:Size
6582:1200
6539:Nios
6519:LEON
6320:ELLA
6295:CUPL
6290:ABEL
6270:Lola
6260:AHDL
6226:VHDL
6159:PSoC
6139:EPLD
6134:CPLD
6122:FPGA
6112:ASIC
6025:Puma
6014:Atom
5979:MIPS
5949:OMAP
5924:i.MX
5882:SoCs
5867:UDOO
5772:CHIP
5726:and
5605:ACPI
5338:Glue
5230:FIFO
5173:Core
4911:ASIP
4852:CPLD
4847:FPOA
4842:FPGA
4837:ASIC
4690:SPMD
4685:MIMD
4680:MISD
4673:SWAR
4653:SIMD
4648:SISD
4563:Data
4546:Task
4517:Word
4263:M32R
4208:MIPS
4171:sets
4138:ZISC
4133:NISC
4128:OISC
4123:MISC
4116:EPIC
4111:VLIW
4099:EDGE
4089:RISC
4084:CISC
3993:HUMA
3988:NUMA
3724:FPGA
3708:CPLD
3611:ASIC
3506:VLSI
3492:Baya
3486:IEEE
3482:SOCC
3464:OCLC
3454:ISBN
3435:ISBN
3415:OCLC
3405:ISBN
3376:2018
3335:2018
3303:ISBN
3274:OCLC
3264:ISBN
3225:2018
3200:2018
3167:2018
3125:2018
3097:2018
3067:OCLC
3057:ISBN
3021:OCLC
3011:ISBN
2975:OCLC
2965:ISBN
2941:2018
2916:2018
2891:2018
2848:2018
2823:2018
2798:2018
2755:2023
2674:for
2551:SoC
2426:ASIC
2419:ASIC
2308:and
2288:and
2246:GPUs
2213:and
2194:for
2092:For
1880:and
1854:and
1486:Bugs
1446:and
1299:and
1183:and
1051:AMBA
1001:and
993:and
981:SoC
974:and
919:and
844:and
829:and
803:HDMI
765:and
697:and
517:list
486:list
398:and
300:and
250:and
225:WWAN
213:eMMC
209:eUFS
207:and
105:SoCs
7758:TLS
7716:GPU
6611:Zet
6562:JOP
6509:ARC
6475:VTR
6429:iCE
6391:NXP
6361:Arm
6351:AMD
6280:UPF
6275:PSL
6248:DPI
6231:AMS
6219:AMS
6154:GAL
6149:PAL
6144:PLA
6117:SoC
5997:x86
5891:ARM
5600:APM
5595:PMU
5487:CPU
5444:ROM
5215:Bus
4832:PAL
4507:Bit
4293:LMC
4198:ARM
4193:x86
4183:VAX
3350:. "
3140:. "
2771:. "
2686:or
2676:PCs
2666:In
2535:.
2354:by
2240:in
2182:or
2143:.
2049:.
2002:.
1820:at
1685:by
1673:of
1601:or
1572:die
1399:or
1389:RTL
1383:or
1377:C++
1350:USB
1249:by
1191:to
1187:to
1153:TCP
856:or
811:CSI
807:I²C
799:SPI
787:USB
590:).
580:LTE
562:ARM
490:ARM
415:AMD
244:GPU
211:or
70:SoC
64:or
7967::
6735:/
5987:Jz
5909:Ax
5534:3D
3500:,
3462:.
3413:.
3403:.
3367:.
3325:.
3297:.
3272:.
3246:^
3216:.
3191:.
3169:.
3157:.
3114:.
3087:.
3065:.
3033:^
3019:.
3009:.
3005:.
2987:^
2973:.
2949:^
2932:.
2907:.
2882:.
2864:.
2839:.
2814:.
2789:.
2746:.
2742:.
2723:.
2292:.
2272:,
2206:.
2112:,
2089:.
2022:.
1975:.
1929:,
1925:,
1921:,
1850:,
1804:,
1776:,
1697::
1628:.
1503:.
1476:,
1472:,
1434:.
1415:.
1379:,
1368:.
1285:,
1179:,
1175:,
1082:,
978:.
950:.
942:,
938:,
895:,
891:,
887:,
825:,
821:,
809:,
805:,
801:,
797:,
793:,
789:,
769:.
667:.
635:,
509::
484::
461:,
394:,
390:,
386:,
262:.
246:,
238:,
234:a
189:,
131:iː
125:oʊ
96:iː
90:oʊ
60:A
7666:e
7659:t
7652:v
6673:.
6653:e
6646:t
6639:v
6214:A
6090:e
6083:t
6076:v
5999:/
5716:e
5709:t
5702:v
3773:e
3766:t
3759:v
3550:e
3543:t
3536:v
3470:.
3443:.
3421:.
3378:.
3337:.
3311:.
3280:.
3227:.
3202:.
3127:.
3099:.
3073:.
3027:.
2981:.
2943:.
2918:.
2893:.
2850:.
2825:.
2800:.
2757:.
2727:.
2542:)
2538:(
2377:)
2371:(
2366:)
2362:(
2348:.
2248:(
2229:.
2150:)
2146:(
2056:)
2052:(
2009:)
2005:(
1755:R
1748:2
1744:I
1739:=
1734:R
1729:2
1725:V
1719:=
1716:V
1713:I
1710:=
1707:P
1478:e
1272:)
1266:(
1261:)
1257:(
1243:.
515:(
335:,
137:/
134:z
128:s
122:s
119:ɛ
116:ˈ
113:ˌ
110:/
99:/
93:s
87:s
84:ɛ
81:ˈ
78:ˌ
75:/
68:(
20:)
Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.