1374:
2219:
432:, and color LCD controllers connected to an on-die system bus, and five serial I/O channels that are connected to a peripheral bus attached to the system bus. The memory controller supported FPM and EDO DRAM, SRAM, flash, and ROM. The PCMCIA controller supports two slots. The memory address and data bus is shared with the PCMCIA interface. Glue logic is required. The serial I/O channels implement a slave USB interface, a
2229:
240:
2239:
320:. It fetched, decoded and issued instructions. Instruction fetch occurs during the first stage, decode and issue during the second. The IBOX decodes the more complex instructions in the ARM instruction set by translating them into sequences of simpler instructions. The IBOX also handled branch instructions. The SA-110 did not have
200:
were announced on 12 September 1996. Samples of these versions were available at announcement, with volume production slated for
December 1996. Throughout 1996, the SA-110 was the highest performing microprocessor for portable devices. Towards the end of 1996 it was a leading CPU for internet/intranet appliances and
539:
arithmetic. Each long-instruction word is 64 bits wide and specifies an arithmetic operation and a branch or a load/store. Instructions operate on operands from a 64-entry 36-bit register file, and on a set of control registers. The AMP communicates with the SA-110 core via an on-chip bus and it
487:
The SA-1110 was a derivative of the SA-110 developed by Intel. It was announced on 31 March 1999, positioned as an alternative to the SA-1100. At announcement, samples were set for June 1999 and volume later that year. Intel discontinued the SA-1110 in early 2003. The SA-1110 was available in 133 or
199:
The SA-110 was the first microprocessor in the StrongARM family. The first versions, operating at 100, 160, and 200 MHz, were announced on 5 February 1996. When announced, samples of these versions were available, with volume production slated for mid-1996. Faster 166 and 233 MHz versions
553:
The SA-1500 contains 3.3 million transistors and measures 60 mm. It was fabricated in a 0.28 ÎĽm CMOS process. It used a 1.5 to 2.0 V internal power supply and 3.3 V I/O, consuming less than 0.5 W at 100 MHz and 2.5 W at 300 MHz. It was packaged in a 240-pin
424:
The SA-1100 was a derivative of the SA-110 developed by DEC. Announced in 1997, the SA-1100 was targeted for portable applications such as PDAs and differs from the SA-110 by providing a number of features that are desirable for such applications. To accommodate these features, the data cache was
392:
and virtually addressed. The SA-110 was designed to be used with slow (and therefore low-cost) memory and therefore the high set associativity allows a higher hit rate than competing designs, and the use of virtual addresses allows memory to be simultaneously cached and uncached. The caches are
110:
to create a faster ARM microprocessor. The StrongARM was designed to address the upper end of the low-power embedded market, where users needed more performance than the ARM could deliver while being able to accept more external support. Targets were devices such as newer
77:
in 1997 from DEC's own
Digital Semiconductor division as part of a settlement of a lawsuit between the two companies over patent infringement. Intel then continued to manufacture it before replacing it with the StrongARM-derived ARM-based follow-up architecture called
528:(AMP), and an on-chip SDRAM and I/O bus controller. The SDRAM controller supported 100 MHz SDRAM, and the I/O controller implemented a 32-bit I/O bus that may run at frequencies up to 50 MHz for connecting to peripherals and the SA-1501 companion chip.
94:, which DEC's engineers quickly concluded was not possible. They then became interested in designs dedicated to low-power applications which led them to the ARM family. One of the only major users of the ARM for performance-related products at that time was
47:
456:
ports, a USB controller and a PCMCIA controller that replaces that on the SA-1100. Design of the device started by DEC, but was only partially complete when acquired by Intel, who had to finish the design. It was fabricated at DEC's former
102:
device was based on the ARM platform. DEC approached Apple wondering if they might be interested in a high-performance ARM, to which the Apple engineers replied "Phhht, yeah. You can't do it, but, yeah, if you could we'd use it."
343:
and condition code logic. The register file had three read ports and two write ports. The ALU and barrel shifter executed instructions in a single cycle. The multiplier is not pipelined and has a latency of multiple cycles.
451:
The SA-1100 had a companion chip, the SA-1101. It was introduced by Intel on 7 October 1998. The SA-1101 provided additional peripherals to complement those integrated on the SA-1100 such as a video output port, two
508:. It was also used to run the Intel Web Tablet, a tablet device that is considered potentially the first to introduce large screen, portable web browsing. Intel dropped the product just prior to launch in 2001.
520:. It was designed and manufactured in low volumes by DEC but was never put into production by Intel. The SA-1500 was available at 200 to 300 MHz. The SA-1500 featured an enhanced SA-110 core, an on-chip
316:. The microprocessor was partitioned into several blocks, the IBOX, EBOX, IMMU, DMMU, BIU, WB and PLL. The IBOX contained hardware that operated in the first two stages of the pipeline such as the
550:
The SA-1501 companion chip provided additional video and audio processing capabilities and various I/O functions such as PS/2 ports, a parallel port, and interfaces for various peripherals.
1321:
464:
The SA-1100 contained 2.5 million transistors and measured 8.24 mm by 9.12 mm (75.15 mm). It was fabricated in a 0.35 ÎĽm CMOS process with three levels of
396:
The SA-110 contained 2.5 million transistors and is 7.8 mm by 6.4 mm large (49.92 mm). It was fabricated by DEC in its proprietary CMOS-6 process at its Fab 6
3250:
3067:
1192:
1123:
1049:
3353:
3255:
373:
from an external 3.68 MHz clock signal. It was not designed by DEC, but was contracted to the Centre Suisse d'Electronique et de
Microtechnique (CSEM) located in
362:. The write buffer (WB) has eight 16-byte entries. It enables the pipelining of stores. The bus interface unit (BIU) provided the SA-110 with an external interface.
3265:
1232:
1153:
1089:
412:(V) to enable designs to find a balance between power consumption and performance (higher voltages enable higher clock rates). The SA-110 was packaged in a 144-pin
488:
206 MHz versions. It differed from the SA-1100 by featuring support for 66 MHz (133 MHz version only) or 103 MHz (206 MHz version only)
3270:
3260:
3245:
3072:
818:
161:
DEC agreed to sell StrongARM to Intel as part of a lawsuit settlement in 1997. Intel used the StrongARM to replace their ailing line of RISC processors, the
3062:
1314:
2275:
3298:
540:
shares the data cache with the SA-110. The AMP contained an ALU with a shifter, a branch unit, a load/store unit, a multiply–accumulate unit, and a
187:, another start-up company designing MIPS SoCs for the hand-held market. A new StrongARM core was developed by Intel and introduced in 2000 as the
3358:
708:
531:
The AMP implemented a long-instruction-word instruction set containing instructions designed for multimedia, such as integer and floating-point
2242:
2232:
1307:
637:
541:
981:
1065:
784:
Digital
Equipment Corporation (5 February 1996). "Digital Targets Supercharged StrongARM Chip at Consumer Electronics Market". Press release.
2419:
2404:
2328:
2318:
1930:
1362:
687:
404:
process. CMOS-6 has a 0.35 ÎĽm feature size, a 0.25 ÎĽm effective channel length but for use with the SA-110, only three levels of
763:
2323:
2004:
898:
Intel
Corporation (31 March 1999). "Intel StrongARM Processor, Companion Chip Optimized For Handheld Computing Devices". Press release.
2343:
2338:
2333:
2009:
1950:
1897:
532:
1208:
536:
287:
3308:
3288:
2551:
2397:
2375:
2370:
2365:
2360:
2313:
2308:
492:. Its companion chip, which provided additional support for peripherals, was the SA-1111. The SA-1110 was packaged in a 256-pin
3436:
3233:
2380:
2355:
2144:
397:
3451:
2546:
2515:
2486:
2268:
1764:
265:
664:
327:
Execution starts at stage three. The hardware that operates during this stage is contained in the EBOX, which comprises the
1187:(4–6 June 1992). "A current-mode latch sense amplifier and a static power saving input buffer for low-power architecture".
3117:
2974:
2618:
2527:
2387:
2350:
2303:
2034:
1330:
433:
63:
793:
Digital
Equipment Corporation (12 September 1996). "Digital's StrongARM Chips Pull Away in Embedded Race". Press release.
3446:
3415:
2589:
2539:
2503:
2124:
1831:
1382:
355:
70:
2613:
2584:
2576:
2534:
2522:
2498:
2182:
2129:
1912:
1874:
1719:
250:
2491:
2159:
1945:
261:
112:
31:
269:
254:
172:
When the semiconductor division of DEC was sold to Intel, many engineers from the Palo Alto design group moved to
3368:
2261:
2193:
2298:
2284:
1856:
1373:
142:
and was the main design site for the StrongARM project. Another design site that worked on the project was in
3441:
3410:
3385:
2979:
2177:
575:
445:
359:
67:
858:
Intel
Corporation (7 October 1998). "Intel Introduces StrongARM Products for PC Companions". Press release.
3400:
2456:
2446:
2441:
2409:
2149:
1714:
1462:
1457:
465:
405:
348:
309:
90:
According to Allen Baum, the StrongARM traces its history to attempts to make a low-power version of the
3390:
3112:
2222:
1389:
1285:
1276:
1251:
1147:
1011:
804:
458:
332:
313:
135:
2722:
2139:
1907:
1811:
1267:
Santhanam, S. et al. (November 1998). "A low-cost, 300-MHz, RISC CPU with attached media processor".
223:
3348:
641:
586:
and got significant attention after being used in StrongARM microprocessors. It is widely used as a
2838:
1999:
1744:
1398:
978:
544:
413:
184:
3395:
3010:
1866:
1226:
1214:
1135:
1083:
1071:
941:
684:
1003:
923:
868:
771:
3161:
3045:
3015:
1976:
1559:
1204:
1061:
555:
496:. It was used in mobile phones, personal data assistants (PDAs) such as the Compaq (later HP)
366:
340:
321:
301:
219:
177:
3098:
2896:
2790:
2645:
2477:
2432:
2014:
1699:
1196:
1127:
1053:
305:
180:
942:"The Linley Group - The industry's leading experts in communications semiconductor markets"
924:"The Linley Group - The industry's leading experts in communications semiconductor markets"
869:"The Linley Group - The industry's leading experts in communications semiconductor markets"
2753:
2726:
1922:
1350:
985:
691:
587:
493:
389:
317:
212:
959:
3293:
2957:
2019:
1886:
1880:
1440:
611:
476:
453:
336:
147:
139:
131:
59:
1044:; Hao Xu (15–17 September 2014). "Understanding the regenerative comparator circuit".
547:. The AMP supported user-defined instructions via a 512-entry writable control store.
3430:
2920:
2910:
2869:
2606:
2064:
1292:
Witek, Rich; Montanaro, James (1996). "StrongARM: A high-performance ARM processor".
1258:
Litch, Tim; Slaton, Jeff (March/April 1998). "StrongARMing
Portable Communications".
1108:
501:
472:
328:
183:(SoC) products for the networking market. The Austin design group spun off to become
143:
127:
123:
107:
1218:
3173:
2859:
2510:
2059:
1955:
1902:
1801:
1139:
516:
The SA-1500 was a derivative of the SA-110 developed by DEC initially targeted for
370:
99:
1075:
374:
393:
responsible for most of the transistor count and they take up half the die area.
3079:
3030:
3020:
2915:
2882:
2877:
2864:
2823:
2817:
2811:
2563:
2558:
2392:
1524:
1519:
1514:
1508:
1504:
1497:
1492:
1487:
1356:
1299:
712:
521:
517:
378:
239:
205:
201:
154:. The project was set up in 1995, and quickly delivered their first design, the
116:
38:
3318:
3313:
3228:
3223:
3218:
3213:
3208:
3203:
3198:
3193:
3188:
3183:
3178:
3168:
3107:
3052:
3025:
2969:
2850:
2805:
2799:
2774:
2768:
2762:
2741:
2735:
2694:
2689:
2684:
2679:
2674:
2669:
2654:
2594:
2117:
1892:
1260:
1200:
1131:
1057:
1038:
591:
208:
166:
162:
95:
735:
3378:
3363:
3156:
3151:
3146:
3040:
3035:
2942:
2937:
2451:
2090:
1994:
1965:
1851:
1540:
1479:
1344:
989:
909:
819:"Digital's StrongARM Microprocessors Take CPU Lead in Network Client Market"
709:"Digital targets supercharged StrongARM chip at consumer electronics market"
385:
352:
91:
1249:
Halfhill, Tom R. (19 April 1999). "Intel Flexes StrongArm With New Chips".
46:
885:
Stephany, R. et al. (1998). "A 200MHz 32b 0.5W CMOS RISC Microprocessor".
3373:
2952:
2601:
2463:
2101:
1841:
1836:
1796:
1575:
1435:
1417:
1412:
964:
907:
Martyn
Williams (14 February 2003). "Intel puts StrongArm on death row".
845:
Turley, Jim (18 November 1996). "Newton First Design Win for StrongARM".
823:
505:
151:
1274:
Turley, Jim (13 November 1995). "StrongArm
Punches Up ARM Performance".
802:
Turley, Jim (27 January 1997). "Embedded Vendors Seek Differentiation".
3405:
3303:
3141:
3134:
3129:
3124:
3084:
3005:
2997:
2992:
2986:
2964:
2664:
2659:
2154:
2039:
1989:
1984:
1935:
1821:
1781:
1776:
1724:
579:
351:
for instructions and data, respectively. Each MMU contained a 32-entry
215:
17:
3328:
3094:
2947:
2932:
2641:
2473:
2187:
2107:
2095:
1960:
1791:
1786:
1759:
1754:
1729:
1671:
429:
188:
173:
79:
2253:
2892:
2428:
2204:
2199:
2172:
2164:
2134:
2112:
2085:
2029:
2024:
1940:
1846:
1826:
1771:
1749:
1734:
1709:
1689:
1683:
1677:
1665:
1660:
1655:
1649:
1644:
1639:
1633:
1628:
1623:
1618:
1613:
1608:
1603:
1597:
1046:
Proceedings of the IEEE 2014 Custom Integrated Circuits Conference
489:
74:
45:
388:
and data cache each have a capacity of 16 KB and are 32-way
3240:
3057:
2927:
2905:
2701:
2069:
2054:
2049:
2044:
1739:
1592:
1587:
1581:
1569:
1469:
1450:
1445:
979:"SA-1500: A 300 MHz RISC CPU with Attached Media Processor"
740:
559:
497:
441:
437:
409:
401:
2257:
1303:
1283:
Turley, Jim (15 September 1997). "SA-1100 Puts PDA on a Chip".
408:. It used a power supply with a variable voltage of 1.2 to 2.2
2834:
2786:
2573:
1427:
233:
37:"SA-110" redirects here. For the Finnish military truck, see
471:
One of the early recipients of this processor was-ill-fated
400:
in Hudson, Massachusetts. CMOS-6 was DEC's sixth-generation
1189:
1992 Symposium on VLSI Circuits Digest of Technical Papers
402:
complementary metal–oxide–semiconductor (CMOS)
324:
hardware, but had mechanisms for their speedy processing.
226:, Gregory W. Hoeppner, Liam Madden, and Richard T. Witek.
106:
The StrongARM was a collaborative project between DEC and
211:. It was also used in a number of products including the
146:
that was created by some ex-DEC designers returning from
66:
and manufactured in the late 1990s which implemented the
222:
video editing system. The SA-110's lead designers were
358:(TLB) that can map 4 KB, 64 KB or 1 MB
670:(Interview). Interviewed by David Brock. p. 60.
3341:
3281:
3093:
2891:
2833:
2784:
2751:
2721:
2714:
2640:
2633:
2572:
2472:
2427:
2418:
2291:
2078:
1974:
1921:
1865:
1810:
1698:
1558:
1533:
1478:
1426:
1397:
1381:
1337:
504:, the Sharp SL-5x00 Linux Based Platforms and the
130:. In order to gain access to the design talent in
685:"A 160-MHz, 32-b, 0.5-W CMOS RISC Microprocessor"
461:fabrication plant, which was also sold to Intel.
594:, or just a robust latch with high sensitivity.
958:Rick Boyd-Merrit; Peter Clarke (24 July 1998).
204:systems. The SA-110's first design win was the
2269:
1315:
8:
1271:, vol. 33, no. 11. pp. 1829–1839.
1231:: CS1 maint: numeric names: authors list (
1152:: CS1 maint: numeric names: authors list (
1088:: CS1 maint: numeric names: authors list (
960:"Intel to reveal details on StrongARM chip"
268:. Unsourced material may be challenged and
2718:
2637:
2424:
2276:
2262:
2254:
1394:
1372:
1322:
1308:
1300:
428:The extra features are integrated memory,
1103:
1101:
1099:
288:Learn how and when to remove this message
1033:
1031:
1029:
764:"Embedded Processor Watch #46; 5/4/1999"
3354:Process–architecture–optimization model
1114:(22 June 2015). "The StrongARM Latch".
603:
475:and its more consumer oriented sibling
1224:
1145:
1081:
638:"Intel, DEC Settle Alpha Chip Dispute"
1004:"StrongARM-1500 Grapples With MPEG-2"
977:Prashant P. Gandhi (18 August 1998).
679:
677:
636:Levine, Daniel S. (11 August 2022) .
7:
2238:
1931:Digital Storage Systems Interconnect
1269:IEEE Journal of Solid-State Circuits
468:and was packaged in a 208-pin TQFP.
266:adding citations to reliable sources
2005:Dynamically Redefined Character Set
578:circuit topology first proposed by
50:DEC StrongARM SA-110 microprocessor
1951:Synchronous Backplane Interconnect
1116:IEEE Solid-State Circuits Magazine
734:Luening, Erich (27 October 1997).
612:"StrongARM Microprocessor: SA-110"
308:design that executed instructions
27:Family of computer microprocessors
25:
698:, vol. 9, no. 1. pp. 49–62.
3369:Intel HD, UHD, and Iris Graphics
2237:
2228:
2227:
2218:
2217:
1180:
1172:
887:ISSCC Digest of Technical Papers
683:Montanaro, James et al. (1997).
238:
138:. This design center was led by
134:, DEC opened a design center in
2457:P6 variant (Enhanced Pentium M)
2145:Maintenance Operations Protocol
1176:
1002:Turley, Jim (8 December 1997).
176:, a start-up company designing
126:division of DEC was located in
1168:
1110:
533:multiply–accumulate operations
425:reduced in size to 8 KB.
1:
2035:Mass Storage Control Protocol
1331:Digital Equipment Corporation
1184:
64:Digital Equipment Corporation
2125:Digital Federal Credit Union
1347:(founder and CEO, 1957–1992)
1040:
736:"Intel, Digital settle suit"
665:"Oral History of Allen Baum"
663:Baum, Allen (18 July 2018).
356:translation lookaside buffer
71:instruction set architecture
2169:Sequence and Batch Language
2130:Dynamic debugging technique
640:. Wired.com. Archived from
582:engineers Tsuguo Kobayashi
113:personal digital assistants
73:. It was later acquired by
3468:
2160:Record Management Services
1946:Standard Disk Interconnect
1294:Proceedings of COMPCON '96
36:
29:
2213:
2194:The Ultimate Entrepreneur
1370:
1296:, pp. 188–191.
1201:10.1109/VLSIC.1992.229252
1132:10.1109/MSSC.2015.2418155
1058:10.1109/CICC.2014.6946003
889:, pp. 238–239, 443.
696:Digital Technical Journal
1857:DIGITAL Command Language
984:20 November 2008 at the
526:Attached Media Processor
444:interface, a MCP, and a
300:The SA-110 had a simple
58:is a family of computer
2183:Systems Research Center
2178:System Reference Manual
1264:. pp. 48–55.
560:plastic ball grid array
446:synchronous serial port
369:generates the internal
349:memory management units
3437:32-bit microprocessors
2452:P6 variant (Pentium M)
2150:On-line Debugging Tool
690:1 January 2019 at the
466:aluminium interconnect
406:aluminium interconnect
347:The IMMU and DMMU are
108:Advanced RISC Machines
51:
3452:Intel microprocessors
1286:Microprocessor Report
1277:Microprocessor Report
1252:Microprocessor Report
1012:Microprocessor Report
847:Microprocessor Report
805:Microprocessor Report
616:datasheets.chipdb.org
494:micro ball grid array
459:Hudson, Massachusetts
333:arithmetic logic unit
314:classic RISC pipeline
185:Alchemy Semiconductor
136:Palo Alto, California
49:
2140:Local Area Transport
1898:National Replacement
262:improve this section
224:Daniel W. Dobberpuhl
82:in the early 2000s.
30:For other uses, see
3447:DEC microprocessors
2000:Digital Linear Tape
1359:(VP of engineering)
774:on 19 October 2007.
545:floating-point unit
414:thin quad flat pack
122:Traditionally, the
3251:Sandy Bridge-based
2420:Microarchitectures
2405:Microarchitectures
2223:Computers template
1195:. pp. 28–29.
827:. 18 November 1996
808:, pp. 16–21.
312:with a five-stage
52:
3424:
3423:
3337:
3336:
2710:
2709:
2629:
2628:
2251:
2250:
1554:
1553:
1067:978-1-4799-3286-3
990:Hot Chips 10
715:. 5 February 1996
556:quad flat package
353:fully associative
322:branch prediction
302:microarchitecture
298:
297:
290:
16:(Redirected from
3459:
3256:Ivy Bridge-based
2847:8/16-bit databus
2719:
2638:
2634:Current products
2425:
2285:Intel processors
2278:
2271:
2264:
2255:
2241:
2240:
2231:
2230:
2221:
2220:
2015:Flip-Chip module
1908:Special Graphics
1395:
1376:
1365:(CEO, 1992–1998)
1324:
1317:
1310:
1301:
1237:
1236:
1230:
1222:
1186:
1182:
1178:
1174:
1170:
1164:
1158:
1157:
1151:
1143:
1112:
1105:
1094:
1093:
1087:
1079:
1052:. pp. 1–8.
1042:
1035:
1024:
1023:
1021:
1019:
1008:
999:
993:
975:
969:
956:
950:
949:
938:
932:
931:
920:
914:
905:
899:
896:
890:
883:
877:
876:
865:
859:
856:
850:
843:
837:
836:
834:
832:
815:
809:
800:
794:
791:
785:
782:
776:
775:
770:. Archived from
760:
754:
753:
751:
749:
731:
725:
724:
722:
720:
705:
699:
681:
672:
671:
669:
660:
654:
653:
651:
649:
644:on 14 March 2016
633:
627:
626:
624:
622:
608:
576:electronic latch
542:single-precision
384:The instruction
293:
286:
282:
279:
273:
242:
234:
181:system-on-a-chip
21:
3467:
3466:
3462:
3461:
3460:
3458:
3457:
3456:
3427:
3426:
3425:
3420:
3349:Tick–tock model
3333:
3277:
3266:Broadwell-based
3157:Extreme Edition
3089:
2887:
2829:
2780:
2747:
2706:
2625:
2568:
2468:
2414:
2287:
2282:
2252:
2247:
2209:
2074:
1970:
1917:
1877:(Multinational)
1861:
1813:
1806:
1701:
1694:
1561:
1550:
1529:
1474:
1422:
1388:
1384:
1383:Instruction set
1377:
1368:
1351:Harlan Anderson
1333:
1328:
1246:
1244:Further reading
1241:
1240:
1223:
1211:
1166:
1165:
1161:
1144:
1107:
1106:
1097:
1080:
1068:
1037:
1036:
1027:
1017:
1015:
1006:
1001:
1000:
996:
986:Wayback Machine
976:
972:
957:
953:
940:
939:
935:
922:
921:
917:
906:
902:
897:
893:
884:
880:
867:
866:
862:
857:
853:
844:
840:
830:
828:
817:
816:
812:
801:
797:
792:
788:
783:
779:
762:
761:
757:
747:
745:
733:
732:
728:
718:
716:
707:
706:
702:
692:Wayback Machine
682:
675:
667:
662:
661:
657:
647:
645:
635:
634:
630:
620:
618:
610:
609:
605:
600:
588:sense amplifier
572:StrongARM latch
568:
566:StrongARM latch
514:
485:
422:
390:set-associative
318:program counter
294:
283:
277:
274:
259:
243:
232:
213:Acorn Computers
209:MessagePad 2000
197:
88:
60:microprocessors
42:
35:
28:
23:
22:
15:
12:
11:
5:
3465:
3463:
3455:
3454:
3449:
3444:
3442:ARM processors
3439:
3429:
3428:
3422:
3421:
3419:
3418:
3413:
3408:
3403:
3398:
3393:
3388:
3383:
3382:
3381:
3376:
3371:
3366:
3356:
3351:
3345:
3343:
3339:
3338:
3335:
3334:
3332:
3331:
3326:
3321:
3316:
3311:
3306:
3301:
3296:
3291:
3285:
3283:
3279:
3278:
3276:
3275:
3274:
3273:
3268:
3263:
3258:
3253:
3248:
3238:
3237:
3236:
3231:
3226:
3221:
3216:
3211:
3206:
3201:
3196:
3191:
3186:
3181:
3176:
3166:
3165:
3164:
3159:
3154:
3149:
3139:
3138:
3137:
3132:
3122:
3121:
3120:
3115:
3104:
3102:
3091:
3090:
3088:
3087:
3082:
3077:
3076:
3075:
3070:
3068:NetBurst-based
3065:
3055:
3050:
3049:
3048:
3043:
3038:
3033:
3028:
3023:
3018:
3013:
3003:
3002:
3001:
2995:
2984:
2983:
2982:
2977:
2967:
2962:
2961:
2960:
2955:
2950:
2945:
2940:
2935:
2925:
2924:
2923:
2918:
2913:
2902:
2900:
2889:
2888:
2886:
2885:
2880:
2875:
2874:32-bit databus
2872:
2867:
2862:
2857:
2856:16-bit databus
2854:
2848:
2844:
2842:
2831:
2830:
2828:
2827:
2821:
2815:
2809:
2803:
2796:
2794:
2782:
2781:
2779:
2778:
2772:
2766:
2759:
2757:
2749:
2748:
2746:
2745:
2739:
2732:
2730:
2716:
2712:
2711:
2708:
2707:
2705:
2704:
2699:
2698:
2697:
2692:
2687:
2682:
2677:
2667:
2662:
2657:
2651:
2649:
2635:
2631:
2630:
2627:
2626:
2624:
2623:
2622:
2621:
2611:
2610:
2609:
2599:
2598:
2597:
2592:
2581:
2579:
2570:
2569:
2567:
2566:
2561:
2556:
2555:
2554:
2544:
2543:
2542:
2532:
2531:
2530:
2520:
2519:
2518:
2508:
2507:
2506:
2496:
2495:
2494:
2483:
2481:
2470:
2469:
2467:
2466:
2461:
2460:
2459:
2454:
2444:
2438:
2436:
2422:
2416:
2415:
2413:
2412:
2407:
2402:
2401:
2400:
2395:
2390:
2385:
2384:
2383:
2378:
2373:
2368:
2363:
2358:
2348:
2347:
2346:
2341:
2336:
2331:
2326:
2321:
2311:
2306:
2295:
2293:
2289:
2288:
2283:
2281:
2280:
2273:
2266:
2258:
2249:
2248:
2246:
2245:
2235:
2225:
2214:
2211:
2210:
2208:
2207:
2202:
2197:
2190:
2185:
2180:
2175:
2170:
2167:
2162:
2157:
2152:
2147:
2142:
2137:
2132:
2127:
2122:
2121:
2120:
2110:
2105:
2098:
2093:
2088:
2082:
2080:
2079:Related topics
2076:
2075:
2073:
2072:
2067:
2062:
2057:
2052:
2047:
2042:
2037:
2032:
2027:
2022:
2017:
2012:
2007:
2002:
1997:
1992:
1987:
1981:
1979:
1972:
1971:
1969:
1968:
1963:
1958:
1953:
1948:
1943:
1938:
1933:
1927:
1925:
1919:
1918:
1916:
1915:
1910:
1905:
1900:
1895:
1890:
1887:Code page 1288
1884:
1881:Code page 1287
1878:
1875:Code page 1100
1871:
1869:
1867:Character sets
1863:
1862:
1860:
1859:
1854:
1849:
1844:
1839:
1834:
1829:
1824:
1818:
1816:
1808:
1807:
1805:
1804:
1799:
1794:
1789:
1784:
1779:
1774:
1769:
1768:
1767:
1757:
1752:
1747:
1742:
1737:
1732:
1727:
1722:
1717:
1712:
1706:
1704:
1696:
1695:
1693:
1692:
1687:
1681:
1675:
1669:
1663:
1658:
1653:
1647:
1642:
1637:
1631:
1626:
1621:
1616:
1611:
1606:
1601:
1595:
1590:
1585:
1579:
1573:
1566:
1564:
1556:
1555:
1552:
1551:
1549:
1548:
1543:
1537:
1535:
1531:
1530:
1528:
1527:
1522:
1517:
1512:
1502:
1501:
1500:
1495:
1484:
1482:
1476:
1475:
1473:
1472:
1467:
1466:
1465:
1455:
1454:
1453:
1443:
1441:MicroVAX 78032
1438:
1432:
1430:
1424:
1423:
1421:
1420:
1415:
1410:
1407:
1403:
1401:
1392:
1379:
1378:
1371:
1369:
1367:
1366:
1360:
1354:
1348:
1341:
1339:
1335:
1334:
1329:
1327:
1326:
1319:
1312:
1304:
1298:
1297:
1290:
1281:
1272:
1265:
1256:
1245:
1242:
1239:
1238:
1209:
1159:
1095:
1066:
1025:
994:
970:
951:
933:
915:
900:
891:
878:
860:
851:
838:
810:
795:
786:
777:
755:
726:
700:
673:
655:
628:
602:
601:
599:
596:
567:
564:
558:or a 256-ball
513:
510:
484:
481:
477:Psion Series 7
421:
418:
337:barrel shifter
296:
295:
246:
244:
237:
231:
228:
196:
193:
148:Apple Computer
140:Dan Dobberpuhl
132:Silicon Valley
87:
84:
26:
24:
14:
13:
10:
9:
6:
4:
3:
2:
3464:
3453:
3450:
3448:
3445:
3443:
3440:
3438:
3435:
3434:
3432:
3417:
3414:
3412:
3409:
3407:
3404:
3402:
3399:
3397:
3394:
3392:
3389:
3387:
3384:
3380:
3377:
3375:
3372:
3370:
3367:
3365:
3362:
3361:
3360:
3357:
3355:
3352:
3350:
3347:
3346:
3344:
3340:
3330:
3327:
3325:
3322:
3320:
3317:
3315:
3312:
3310:
3307:
3305:
3302:
3300:
3297:
3295:
3292:
3290:
3287:
3286:
3284:
3280:
3272:
3271:Skylake-based
3269:
3267:
3264:
3262:
3261:Haswell-based
3259:
3257:
3254:
3252:
3249:
3247:
3246:Nehalem-based
3244:
3243:
3242:
3239:
3235:
3232:
3230:
3227:
3225:
3222:
3220:
3217:
3215:
3212:
3210:
3207:
3205:
3202:
3200:
3197:
3195:
3192:
3190:
3187:
3185:
3182:
3180:
3177:
3175:
3172:
3171:
3170:
3167:
3163:
3160:
3158:
3155:
3153:
3150:
3148:
3145:
3144:
3143:
3140:
3136:
3133:
3131:
3128:
3127:
3126:
3123:
3119:
3116:
3114:
3111:
3110:
3109:
3106:
3105:
3103:
3100:
3096:
3092:
3086:
3083:
3081:
3078:
3074:
3071:
3069:
3066:
3064:
3061:
3060:
3059:
3056:
3054:
3051:
3047:
3044:
3042:
3039:
3037:
3034:
3032:
3029:
3027:
3024:
3022:
3019:
3017:
3014:
3012:
3011:Original i586
3009:
3008:
3007:
3004:
2999:
2996:
2994:
2991:
2990:
2988:
2985:
2981:
2978:
2976:
2973:
2972:
2971:
2968:
2966:
2963:
2959:
2956:
2954:
2951:
2949:
2946:
2944:
2941:
2939:
2936:
2934:
2931:
2930:
2929:
2926:
2922:
2919:
2917:
2914:
2912:
2909:
2908:
2907:
2904:
2903:
2901:
2898:
2894:
2890:
2884:
2881:
2879:
2876:
2873:
2871:
2868:
2866:
2863:
2861:
2858:
2855:
2852:
2849:
2846:
2845:
2843:
2840:
2836:
2832:
2825:
2822:
2819:
2816:
2813:
2810:
2807:
2804:
2801:
2798:
2797:
2795:
2792:
2788:
2783:
2776:
2773:
2770:
2767:
2764:
2761:
2760:
2758:
2755:
2750:
2743:
2740:
2737:
2734:
2733:
2731:
2728:
2724:
2720:
2717:
2713:
2703:
2700:
2696:
2693:
2691:
2688:
2686:
2683:
2681:
2678:
2676:
2673:
2672:
2671:
2668:
2666:
2663:
2661:
2658:
2656:
2653:
2652:
2650:
2647:
2643:
2639:
2636:
2632:
2620:
2617:
2616:
2615:
2612:
2608:
2607:Goldmont Plus
2605:
2604:
2603:
2600:
2596:
2593:
2591:
2588:
2587:
2586:
2583:
2582:
2580:
2578:
2575:
2571:
2565:
2562:
2560:
2557:
2553:
2550:
2549:
2548:
2545:
2541:
2538:
2537:
2536:
2533:
2529:
2526:
2525:
2524:
2521:
2517:
2514:
2513:
2512:
2509:
2505:
2502:
2501:
2500:
2497:
2493:
2490:
2489:
2488:
2485:
2484:
2482:
2479:
2475:
2471:
2465:
2462:
2458:
2455:
2453:
2450:
2449:
2448:
2445:
2443:
2440:
2439:
2437:
2434:
2430:
2426:
2423:
2421:
2417:
2411:
2408:
2406:
2403:
2399:
2396:
2394:
2391:
2389:
2386:
2382:
2379:
2377:
2374:
2372:
2369:
2367:
2364:
2362:
2359:
2357:
2354:
2353:
2352:
2349:
2345:
2342:
2340:
2337:
2335:
2332:
2330:
2327:
2325:
2322:
2320:
2317:
2316:
2315:
2312:
2310:
2307:
2305:
2302:
2301:
2300:
2297:
2296:
2294:
2290:
2286:
2279:
2274:
2272:
2267:
2265:
2260:
2259:
2256:
2244:
2236:
2234:
2226:
2224:
2216:
2215:
2212:
2206:
2203:
2201:
2198:
2196:
2195:
2191:
2189:
2186:
2184:
2181:
2179:
2176:
2174:
2171:
2168:
2166:
2163:
2161:
2158:
2156:
2153:
2151:
2148:
2146:
2143:
2141:
2138:
2136:
2133:
2131:
2128:
2126:
2123:
2119:
2116:
2115:
2114:
2111:
2109:
2106:
2104:
2103:
2099:
2097:
2094:
2092:
2089:
2087:
2084:
2083:
2081:
2077:
2071:
2068:
2066:
2065:System Module
2063:
2061:
2058:
2056:
2053:
2051:
2048:
2046:
2043:
2041:
2038:
2036:
2033:
2031:
2028:
2026:
2023:
2021:
2018:
2016:
2013:
2011:
2008:
2006:
2003:
2001:
1998:
1996:
1993:
1991:
1988:
1986:
1983:
1982:
1980:
1978:
1973:
1967:
1964:
1962:
1959:
1957:
1954:
1952:
1949:
1947:
1944:
1942:
1939:
1937:
1934:
1932:
1929:
1928:
1926:
1924:
1923:Bus standards
1920:
1914:
1911:
1909:
1906:
1904:
1901:
1899:
1896:
1894:
1891:
1888:
1885:
1882:
1879:
1876:
1873:
1872:
1870:
1868:
1864:
1858:
1855:
1853:
1850:
1848:
1845:
1843:
1840:
1838:
1835:
1833:
1830:
1828:
1825:
1823:
1820:
1819:
1817:
1815:
1809:
1803:
1800:
1798:
1795:
1793:
1790:
1788:
1785:
1783:
1780:
1778:
1775:
1773:
1770:
1766:
1763:
1762:
1761:
1758:
1756:
1753:
1751:
1748:
1746:
1743:
1741:
1738:
1736:
1733:
1731:
1728:
1726:
1723:
1721:
1718:
1716:
1713:
1711:
1708:
1707:
1705:
1703:
1697:
1691:
1688:
1685:
1682:
1679:
1676:
1673:
1670:
1667:
1664:
1662:
1659:
1657:
1654:
1651:
1648:
1646:
1643:
1641:
1638:
1635:
1632:
1630:
1627:
1625:
1622:
1620:
1617:
1615:
1612:
1610:
1607:
1605:
1602:
1599:
1596:
1594:
1591:
1589:
1586:
1583:
1580:
1577:
1574:
1571:
1568:
1567:
1565:
1563:
1557:
1547:
1544:
1542:
1539:
1538:
1536:
1532:
1526:
1523:
1521:
1518:
1516:
1513:
1510:
1506:
1503:
1499:
1496:
1494:
1491:
1490:
1489:
1486:
1485:
1483:
1481:
1477:
1471:
1468:
1464:
1461:
1460:
1459:
1456:
1452:
1449:
1448:
1447:
1444:
1442:
1439:
1437:
1434:
1433:
1431:
1429:
1425:
1419:
1416:
1414:
1411:
1408:
1405:
1404:
1402:
1400:
1396:
1393:
1391:
1386:
1385:architectures
1380:
1375:
1364:
1363:Robert Palmer
1361:
1358:
1355:
1352:
1349:
1346:
1343:
1342:
1340:
1336:
1332:
1325:
1320:
1318:
1313:
1311:
1306:
1305:
1302:
1295:
1291:
1288:
1287:
1282:
1279:
1278:
1273:
1270:
1266:
1263:
1262:
1257:
1254:
1253:
1248:
1247:
1243:
1234:
1228:
1220:
1216:
1212:
1210:0-7803-0701-1
1206:
1202:
1198:
1194:
1190:
1175:; Shirotori,
1163:
1160:
1155:
1149:
1141:
1137:
1133:
1129:
1125:
1121:
1117:
1113:
1104:
1102:
1100:
1096:
1091:
1085:
1077:
1073:
1069:
1063:
1059:
1055:
1051:
1047:
1043:
1034:
1032:
1030:
1026:
1014:
1013:
1005:
998:
995:
991:
987:
983:
980:
974:
971:
967:
966:
961:
955:
952:
947:
946:mdronline.com
943:
937:
934:
929:
928:mdronline.com
925:
919:
916:
912:
911:
904:
901:
895:
892:
888:
882:
879:
874:
873:mdronline.com
870:
864:
861:
855:
852:
848:
842:
839:
826:
825:
820:
814:
811:
807:
806:
799:
796:
790:
787:
781:
778:
773:
769:
768:mdronline.com
765:
759:
756:
744:
742:
737:
730:
727:
714:
710:
704:
701:
697:
693:
689:
686:
680:
678:
674:
666:
659:
656:
643:
639:
632:
629:
617:
613:
607:
604:
597:
595:
593:
589:
585:
581:
577:
573:
565:
563:
561:
557:
551:
548:
546:
543:
538:
534:
529:
527:
523:
519:
518:set-top boxes
511:
509:
507:
503:
499:
495:
491:
482:
480:
478:
474:
473:Psion netBook
469:
467:
462:
460:
455:
449:
447:
443:
439:
435:
431:
426:
419:
417:
415:
411:
407:
403:
399:
394:
391:
387:
382:
380:
376:
372:
368:
363:
361:
357:
354:
350:
345:
342:
338:
334:
330:
329:register file
325:
323:
319:
315:
311:
307:
303:
292:
289:
281:
278:December 2018
271:
267:
263:
257:
256:
252:
247:This section
245:
241:
236:
235:
229:
227:
225:
221:
217:
214:
210:
207:
203:
194:
192:
190:
186:
182:
179:
175:
170:
168:
164:
159:
157:
153:
149:
145:
144:Austin, Texas
141:
137:
133:
129:
128:Massachusetts
125:
124:semiconductor
120:
118:
117:set-top boxes
114:
109:
104:
101:
97:
93:
85:
83:
81:
76:
72:
69:
65:
62:developed by
61:
57:
48:
44:
40:
33:
19:
3323:
2715:Discontinued
2552:Cypress Cove
2511:Sandy Bridge
2192:
2100:
2060:Star coupler
1956:TURBOchannel
1802:Digital UNIX
1545:
1353:(co-founder)
1293:
1284:
1275:
1268:
1259:
1250:
1188:
1183:; Watanabe,
1179:; Fujimoto,
1162:
1148:cite journal
1119:
1115:
1045:
1016:. Retrieved
1010:
997:
973:
963:
954:
945:
936:
927:
918:
908:
903:
894:
886:
881:
872:
863:
854:
846:
841:
829:. Retrieved
822:
813:
803:
798:
789:
780:
772:the original
767:
758:
746:. Retrieved
739:
729:
717:. Retrieved
703:
695:
658:
646:. Retrieved
642:the original
631:
619:. Retrieved
615:
606:
583:
571:
569:
552:
549:
530:
525:
515:
486:
470:
463:
450:
427:
423:
395:
383:
371:clock signal
364:
346:
326:
299:
284:
275:
260:Please help
248:
220:Eidos Optima
198:
171:
160:
155:
121:
105:
89:
55:
53:
43:
2564:Golden Cove
2559:Willow Cove
2540:Cannon Lake
1812:Programming
1525:Alpha 21464
1520:Alpha 21364
1515:Alpha 21264
1505:Alpha 21164
1488:Alpha 21064
1357:Gordon Bell
1167:Kobayashi,
713:PR Newswire
524:called the
522:coprocessor
379:Switzerland
304:. It was a
230:Description
202:thin client
39:Sisu SA-110
3431:Categories
3359:Intel GPUs
3073:Core-based
2837:(external
2725:oriented (
2595:Silvermont
2547:Sunny Cove
2516:Ivy Bridge
2299:Processors
2118:HP-Interex
1541:MicroPRISM
1390:processors
1338:Key people
1261:IEEE Micro
1171:; Nogami,
598:References
592:comparator
341:multiplier
3411:Codenames
3324:StrongARM
3162:Dual-Core
3135:Dual-Core
3046:Dual-Core
3016:OverDrive
2965:A100/A110
2958:OverDrive
2752:pre-x86 (
2619:Gracemont
2528:Broadwell
2091:AltaVista
1995:DECwriter
1966:VAXBI bus
1913:Technical
1889:(Turkish)
1852:VAX MACRO
1814:languages
1700:Operating
1582:VT50/VT52
1562:terminals
1546:StrongARM
1345:Ken Olsen
1227:cite book
1126:: 12–17.
1084:cite book
910:InfoWorld
648:11 August
375:Neuchâtel
249:does not
92:DEC Alpha
56:StrongARM
32:Strongarm
3416:Larrabee
3294:iAPX 432
3229:11th gen
3224:10th gen
3063:P6-based
2953:RapidCAD
2695:14th gen
2690:13th gen
2685:12th gen
2680:11th gen
2675:10th gen
2602:Goldmont
2590:Saltwell
2504:Westmere
2464:NetBurst
2410:Chipsets
2233:Category
2102:CPU Wars
2020:Gold key
1977:hardware
1903:RADIX 50
1842:MACRO-11
1837:MACRO-10
1560:Computer
1219:67412709
1109:Razavi,
1018:14 March
982:Archived
965:EE Times
831:16 March
824:EE Times
743:news.com
688:Archived
506:Simputer
416:(TQFP).
310:in-order
152:Motorola
98:, whose
3406:Stratix
3342:Related
3304:Itanium
3219:9th gen
3214:8th gen
3209:7th gen
3204:6th gen
3199:5th gen
3194:4th gen
3189:3rd gen
3184:2nd gen
3179:1st gen
3142:Pentium
3125:Celeron
3085:Tolapai
3006:Pentium
2989:(1998)
2987:Celeron
2878:80387DX
2870:80387SX
2665:Pentium
2660:Celeron
2614:Tremont
2585:Bonnell
2535:Skylake
2523:Haswell
2499:Nehalem
2398:Itanium
2314:Pentium
2309:Celeron
2243:Commons
2155:PALcode
2040:PALcode
2010:Firefly
1990:DECtape
1985:DECtalk
1936:Massbus
1883:(Greek)
1822:BASIC-8
1782:VAX/VMS
1777:TOPS-20
1725:TOPS-10
1702:systems
1509:21164PC
1140:9477992
1039:Abidi,
849:, p. 5.
748:29 July
621:31 July
580:Toshiba
512:SA-1500
502:Jornada
500:and HP
483:SA-1110
420:SA-1100
335:(ALU),
270:removed
255:sources
216:Risc PC
86:History
18:SA-1110
3329:XScale
3099:64-bit
3095:x86-64
3000:(2004)
2897:32-bit
2860:80C187
2853:(1980)
2826:(1982)
2820:(1982)
2814:(1982)
2808:(1979)
2802:(1978)
2791:16-bit
2785:Early
2777:(1977)
2771:(1974)
2765:(1972)
2744:(1974)
2738:(1971)
2646:64-bit
2642:x86-64
2492:Penryn
2478:64-bit
2474:x86-64
2433:32-bit
2188:TD/SMP
2108:DECnet
2096:Compaq
1975:Other
1961:Unibus
1893:Hebrew
1792:Ultrix
1787:VAXELN
1772:DSM-11
1760:RSX-11
1755:RSTS/E
1745:DOS-11
1730:RSX-15
1715:4K DMS
1710:DECsys
1686:(1994)
1680:(1993)
1674:(1990)
1672:VT1000
1668:(1990)
1652:(1987)
1636:(1983)
1600:(1978)
1584:(1975)
1578:(1972)
1572:(1970)
1463:Mariah
1406:LSI-11
1399:PDP-11
1217:
1207:
1138:
1076:329565
1074:
1064:
719:7 June
584:et al.
574:is an
554:metal
436:, two
430:PCMCIA
306:scalar
195:SA-110
189:XScale
174:SiByte
156:SA-110
100:Newton
80:XScale
68:ARM v4
3401:PIIXs
3282:Other
3080:Quark
2893:IA-32
2883:80487
2865:80287
2824:80286
2818:80188
2812:80186
2754:8-bit
2727:4-bit
2429:IA-32
2393:Quark
2292:Lists
2205:WPS-8
2200:VT640
2173:Sixel
2165:ReGIS
2135:FX!32
2113:DECUS
2086:AdvFS
2030:LK421
2025:LK201
1941:Q-Bus
1847:MUMPS
1832:FOCAL
1827:DIBOL
1750:RT-11
1735:TSS/8
1690:VT525
1684:VT520
1678:VT510
1666:VT420
1661:VT340
1656:VT330
1650:VT320
1645:VT241
1640:VT240
1634:VT220
1629:VT180
1624:VT131
1619:VT105
1614:VT103
1609:VT102
1604:VT101
1598:VT100
1534:Other
1498:21068
1493:21066
1480:Alpha
1458:Rigel
1215:S2CID
1136:S2CID
1122:(2).
1072:S2CID
1007:(PDF)
668:(PDF)
490:SDRAM
440:, an
438:UARTs
410:volts
386:cache
360:pages
206:Apple
96:Apple
75:Intel
3396:ICHs
3391:SCHs
3386:PCHs
3319:i960
3314:i860
3309:RISC
3299:EPIC
3289:CISC
3241:Xeon
3169:Core
3108:Atom
3058:Xeon
3053:Core
2970:Atom
2928:i486
2906:i386
2899:x86)
2851:8087
2839:FPUs
2806:8088
2800:8086
2775:8085
2769:8080
2763:8008
2742:4040
2736:4004
2702:Xeon
2670:Core
2655:Atom
2487:Core
2435:x86)
2388:Xeon
2351:Core
2304:Atom
2070:TU81
2055:RL02
2050:RK05
2045:RA90
1797:MICA
1740:OS/8
1593:VT62
1588:VT55
1576:GT40
1570:VT05
1470:NVAX
1446:CVAX
1436:V-11
1418:J-11
1413:T-11
1409:F-11
1233:link
1205:ISBN
1193:IEEE
1154:link
1124:IEEE
1090:link
1062:ISBN
1050:IEEE
1020:2024
833:2012
750:2008
741:CNet
721:2011
650:2022
623:2024
590:, a
570:The
537:SIMD
535:and
498:iPAQ
454:PS/2
442:IrDA
434:SDLC
365:The
253:any
251:cite
218:and
178:MIPS
167:i960
165:and
163:i860
150:and
115:and
54:The
3379:Arc
3364:GMA
3113:SoC
3031:III
3021:Pro
2980:SoC
2943:DX4
2938:DX2
2916:376
2835:x87
2787:x86
2723:BCD
2577:ULV
2574:x86
2329:III
2319:Pro
1765:IAS
1720:COS
1451:SOC
1428:VAX
1197:doi
1128:doi
1054:doi
398:fab
367:PLL
264:by
3433::
3374:Xe
3118:CE
3026:II
2975:CE
2948:SL
2933:SX
2921:EX
2911:SX
2447:P6
2442:P5
2376:i9
2371:i7
2366:i5
2361:i3
2324:II
1229:}}
1225:{{
1213:.
1203:.
1191:.
1185:O.
1181:Y.
1177:T.
1173:K.
1169:T.
1150:}}
1146:{{
1134:.
1118:.
1111:B.
1098:^
1086:}}
1082:{{
1070:.
1060:.
1048:.
1041:A.
1028:^
1009:.
988:.
962:.
944:.
926:.
871:.
821:.
766:.
738:.
711:.
694:.
676:^
614:.
562:.
479:.
448:.
381:.
377:,
339:,
331:,
191:.
169:.
158:.
119:.
3234:M
3174:2
3152:D
3147:4
3130:D
3101:)
3097:(
3041:M
3036:4
2998:D
2993:M
2895:(
2841:)
2793:)
2789:(
2756:)
2729:)
2648:)
2644:(
2480:)
2476:(
2431:(
2381:M
2356:2
2344:M
2339:D
2334:4
2277:e
2270:t
2263:v
1511:)
1507:(
1387:,
1323:e
1316:t
1309:v
1289:.
1280:.
1255:.
1235:)
1221:.
1199::
1156:)
1142:.
1130::
1120:7
1092:)
1078:.
1056::
1022:.
992:.
968:.
948:.
930:.
913:.
875:.
835:.
752:.
723:.
652:.
625:.
291:)
285:(
280:)
276:(
272:.
258:.
41:.
34:.
20:)
Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.