471:
2062:
479:
504:
496:
2038:
2046:
1069:
459:
988:
enabled through the SYSCFG MSR. Once enabled, page table entries will determine how the memory is accessed. If a page table entry has the memory encryption mask set, then that memory will be accessed as encrypted memory. The memory encryption mask (as well as other related information) is determined from settings returned through the same CPUID function that identifies the presence of the feature.
4774:
487:
897:, in comparison, offered 4–15% improvement over previous architectures. AMD announced the final Zen microarchitecture actually achieved 52% improvement in IPC over Excavator. The inclusion of SMT also allows each core to process up to two threads, increasing processing throughput by better use of available resources.
729:
This is the first time in a very long time that we engineers have been given the total freedom to build a processor from scratch and do the best we can do. It is a multi-year project with a really large team. It's like a marathon effort with some sprints in the middle. The team is working very hard,
4781:
AMD announced in March 2017 that it would release a server platform based on Zen, codenamed Naples, in the second quarter of the year. The platform include 1- and 2-socket systems. The CPUs in multi-processor configurations communicate via AMD's
Infinity Fabric. Each chip supports eight channels of
992:
The Secure
Encrypted Virtualization (SEV) feature allows the memory contents of a virtual machine (VM) to be transparently encrypted with a key unique to the guest VM. The memory controller contains a high-performance encryption engine which can be programmed with multiple keys for use by different
987:
SME can be used to mark individual pages of memory as encrypted through the page tables. A page of memory that is marked encrypted will be automatically decrypted when read from DRAM and will be automatically encrypted when written to DRAM. The SME feature is identified through a CPUID function and
865:
parts later in 2017. Intel was unable to reach this goal, and in 2021, only mobile chips have been produced with the 10nm process. In comparison to Intel's 14 nm FinFET, AMD claimed in
February 2017 the Zen cores would be 10% smaller. Intel has later announced in July 2018 that 10nm mainstream
786:
segments. Each core has two address generation units, four integer units, and four floating point units. Two of the floating point units are adders, and two are multiply-adders. However, using multiply-add-operations may prevent simultaneous add operation in one of the adder units. There are also
974:
Zen added support for AMD's Secure Memory
Encryption (SME) and AMD's Secure Encrypted Virtualization (SEV). Secure Memory Encryption is real-time memory encryption done per page table entry. Encryption occurs on a hardware AES engine and keys are managed by the onboard "Security" Processor
820:
GPU architecture. This was clarified by AMD's July 2016 announcement that products had been successfully produced on
Samsung's 14 nm FinFET process. AMD stated Samsung would be used "if needed", arguing this would reduce risk for AMD by decreasing dependence on any one foundry.
958:
Processors built at the 14 nm node on FinFET silicon should show reduced power consumption and therefore heat over their 28 nm and 32 nm non-FinFET predecessors (for equivalent designs), or be more computationally powerful at equivalent heat output/power consumption.
809:
sister core, but on AMD's 2015 Financial
Analyst Day it was revealed that K12 was delayed in favor of the Zen design, to allow it to enter the market within the 2016 timeframe, with the release of the first Zen-based processors expected for October 2016.
775:). While newer roadmaps don't confirm the TDP for desktop products, they suggest a range for low-power mobile products with up to two Zen cores from 5 to 15 W and 15 to 35 W for performance-oriented mobile products with up to four Zen cores.
446:
as the processor cores. This has advantages in bandwidth and power, at the expense of chip complexity and die area. This SoC design allows the Zen microarchitecture to scale from laptops and small-form factor mini PCs to high-end desktops and servers.
470:
1144:
On March 2, 2017, AMD officially launched the first Zen architecture-based octacore Ryzen desktop CPUs. The final clock speeds and TDPs for the 3 CPUs released in Q1 of 2017 demonstrated significant performance-per-watt benefits over the previous
787:
improvements in the branch predictor. The L1 cache size is 64 KB for instructions per core and 32 KB for data per core. The L2 cache size 512 KB per core, and the L3 is 1–2 MB per core. L3 caches offer 5× the bandwidth of previous AMD designs.
7520:
Intel's Kaby Lake-series processors, which are scheduled to launch in the third quarter, but will not begin volume production until the end of 2016, while AMD is set to release its Zen architecture-based processors at the end of the fourth
2572:
6582:
900:
The Zen processors also employ sensors across the chip to dynamically scale frequency and voltage. This allows for the maximum frequency to be dynamically and automatically defined by the processor itself based upon available cooling.
1128:
The first desktop processors without graphics processing units (codenamed "Summit Ridge") were initially expected to start selling at the end of 2016, according to an AMD roadmap; with the first mobile and desktop processors of the
4782:
memory and 128 PCIe 3.0 lanes, of which 64 lanes are used for CPU-to-CPU communication through
Infinity Fabric when installed in a dual-processor configuration. AMD officially revealed Naples under the brand name Epyc in May 2017.
2061:
1133:
type (codenamed "Raven Ridge") following in late 2017. AMD officially delayed Zen until Q1 of 2017. In August 2016, an early demonstration of the architecture showed an 8-core/16-thread engineering sample CPU at 3.0 GHz.
1152:
In March 2017, AMD also demonstrated an engineering sample of a server CPU based on the Zen architecture. The CPU (codenamed "Naples") was configured as a dual-socket server platform with each CPU having 32 cores/64 threads.
490:
A delidded AMD EPYC 7001 processor used in servers. The four dies are similar to the ones used in mainstream processors. All EPYC processors contain four dies to provide structural support to the IHS (Integrated Heat
6296:
38:
8228:
2565:
7281:
478:
8803:
7255:
7723:
6482:
2558:
7278:"OC3D :: Article :: AMD Tests Zen CPUs, "Met All Expectation" with no "Significant Bottlenecks" found :: AMD Tests Zen CPUs, Met All Expectation with no Significant Bottlenecks found"
979:) at boot time to encrypt each page, allowing any DDR4 memory (including non-volatile varieties) to be encrypted. AMD SME also makes the contents of the memory more resistant to memory snooping and
7060:
6604:
802:
The team in charge of Zen was led by Keller (who left in
September 2015 after a 3-year tenure) and Zen Team Leader Suzanne Plummer. The Chief Architect of Zen was AMD Senior Fellow Michael Clark.
6575:
1983:
7340:
966:, reducing the frequency of underutilized portions of the core to save power. This comes from AMD's SenseMI technology, using sensors across the chip to dynamically scale frequency and voltage.
8168:
7395:
7700:
7472:
8415:
6211:
7451:
7198:
8287:
7786:
6987:
6151:
7620:
7310:
7874:
6553:
8987:
8257:
5882:
6523:
5999:
9044:
7421:
7591:
6370:
7818:
6402:
3106:
7983:
6292:
8960:
7929:
7145:
6959:
816:
In
December 2015, it was rumored that Samsung may have been contracted as a fabricator for AMD's 14 nm FinFET processors, including both Zen and AMD's then-upcoming
8091:
6270:
6025:
8220:
6345:
1976:
503:
7673:
7366:
10737:
10092:
8866:
8394:
1679:
7277:
9014:
8141:
5762:
5354:
6452:
7647:
6657:
6052:
6820:
6181:
7727:
7247:
5943:
5904:
7031:
6474:
6320:
3917:
3613:
7538:
813:
In
November 2015, a source inside AMD reported that Zen microprocessors had been tested and "met all expectations" with "no significant bottlenecks found".
7903:
1969:
8314:
6120:
5969:
7056:
6600:
4708:
4369:
7759:
7565:
7332:
730:
but they can see the finish line. I guarantee that it will deliver a huge improvement in performance and power consumption over the previous generation.
8845:
6790:
8164:
993:
VMs in the system. The programming and management of these keys is handled by the AMD Secure Processor firmware which exposes an API for these tasks.
7387:
9669:
9449:
9265:
8199:
7225:
7696:
6506:
6427:
10020:
9556:
8423:
4785:
On June 20, 2017, AMD officially released the Epyc 7000 series CPUs at a launch event in Austin, Texas. Common features of EPYC 7001 series CPUs:
3099:
7194:
6917:
6203:
427:
support; the high-end desktop Zen-based Threadripper chips support quad-channel DDR4 memory and offer 64 PCIe 3.0 lanes (vs 24 lanes), using the
7612:
7443:
6849:
6086:
8279:
4748:
4409:
3958:
3654:
3147:
2612:
495:
7782:
7697:"IPC Increases: Double L1 Data Cache, Better Branch Prediction - AMD Launches Carrizo: The Laptop Leap of Efficiency and Architecture Updates"
6983:
6627:"AMD Opens The Lid on Zen Architectural Details at Hot Chips – Huge Performance Leap Over Excavator, Massive Throughput on 14nm FinFET Design"
9145:
7848:
7302:
6143:
1039:
AMD, in announcing its Radeon Instinct line, argued that the upcoming Zen-based Naples server CPU would be particularly suited for building
7870:
6549:
8983:
8249:
8039:
8011:
7955:
5874:
950:(HBM). However, the first demonstrated APU did not use HBM. Previous APUs from AMD relied on shared memory for both the GPU and the CPU.
10085:
8572:
6527:
5991:
2000:
1696:
1672:
9036:
7417:
555:(CCX) consisting of four cores and their associated caches. Processors with more than four cores consist of multiple CCXs connected by
9576:
9561:
6728:
6366:
5755:
5347:
3092:
499:
A delidded AMD Athlon 3000G APU, based on the Zen architecture. The die is physically smaller than those on mainstream Zen processors.
378:
7587:
4752:
4413:
6902:
5427:
4804:
4634:
4182:
1741:
1183:
664:
7808:
6392:
7976:
7115:
5408:
In February 2018, AMD also announced the EPYC 3000 series of embedded Zen CPUs.Common features of EPYC Embedded 3000 series CPUs:
724:
eXtended Frequency Range (XFR), an automated overclocking feature which boosts clock speeds beyond the advertised turbo frequency.
9507:
9502:
9497:
9492:
8956:
6695:
6634:
4442:
3996:
3910:
3684:
3606:
3182:
2642:
2080:
7171:
571:
10742:
10732:
10493:
9652:
7925:
7508:
7137:
7009:
6951:
6241:
6021:
5815:
2030:
Processor package actually contains two additional inactive dies to provide structural support to the integrated heat spreader.
1149:
architecture. The octacore Ryzen desktop CPUs demonstrated performance-per-watt comparable to Intel's Broadwell octacore CPUs.
1130:
846:
824:
In December 2019, AMD started putting out first generation Ryzen products built using the second generation Zen+ architecture.
764:
390:
8087:
6262:
881:
W for mobile), smaller transistors allow for either lower power at the same frequency, or higher frequency at the same power.
873:
would use less current (and power) at the same frequency (or voltage). As CPUs are usually power limited (typically up to ~125
10469:
10078:
9647:
9544:
9534:
6757:
4701:
4362:
1665:
1146:
923:
but it requires two clock cycles to complete each AVX2 instruction compared to Intel's one. This difference was corrected in
6341:
1141:
brand for release in Q1 2017. It also confirmed Server processors would be released in Q2 2017, and mobile APUs in H2 2017.
532:
architecture allows for two threads per core, a departure from the CMT (clustered multi-thread) design used in the previous
9222:
7669:
415:
has been introduced, allowing each core to run two threads. The cache system has also been redesigned, making the L1 cache
10508:
10459:
10453:
9657:
9642:
9539:
9514:
9487:
7490:
7362:
5748:
5340:
905:
894:
850:
696:
533:
397:
330:
8386:
9529:
9524:
9442:
9010:
8823:
5848:
169:
8137:
10553:
10032:
9549:
6448:
3903:
854:
817:
647:
529:
412:
9178:
7643:
6665:
6048:
3599:
6812:
6173:
5939:
10483:
10406:
9732:
9724:
589:
7027:
6317:
9519:
9482:
9477:
9332:
8930:
7534:
6879:
4694:
4355:
3367:
2828:
1103:
1051:
to connect at PCIe x16 to a single CPU. This compares favorably to the Intel Xeon line, with only 40 PCIe lanes.
889:
One of Zen's major goals in 2016 was to focus on performance per-core, and it was targeting a 40% improvement in
620:
619:
system with Indirect Target Array similar to the Bobcat microarchitecture, something that has been compared to a
8310:
7899:
6116:
10503:
9737:
5965:
657:
7755:
7561:
5845:"AMD Ryzen™ 7 Desktop Processors Featuring Record-Breaking Overclocking Performance Available Worldwide Today"
385:
2016. The first Zen-based CPUs, codenamed "Summit Ridge", reached the market in early March 2017, Zen-derived
8064:
6786:
10542:
10106:
9749:
9664:
9435:
1033:
1002:
685:
567:
362:
9372:
8512:
3962:
3658:
3151:
2616:
10169:
10102:
9472:
9458:
8336:
890:
861:
microarchitecture, are also fabricated on 14 nm FinFET; though Intel planned to begin the release of
779:
408:
8361:
8191:
7221:
6503:
6078:
6424:"Weekend tech reading: AMD 'Zen' and their return to high-end CPUs, tracking Windows pirates - TechSpot"
6423:
5805:
947:
796:
563:
382:
9421:
2037:
10268:
9566:
9401:
8114:
6925:
6841:
5495:
5467:
4872:
4844:
4729:
4725:
4467:
4460:
4390:
4386:
4032:
4019:
4014:
3938:
3934:
3724:
3714:
3634:
3630:
3222:
3212:
3127:
3123:
2679:
2672:
2593:
2589:
2123:
2114:
1812:
1784:
1254:
1226:
1025:
772:
676:
643:
442:
design. The memory controller and the PCIe, SATA, and USB controllers are incorporated into the same
203:
9092:
8904:
5905:"AMD Launches Ryzen: 52% More IPC, Eight Cores for Under $ 330, Pre-order Today, On Sale March 2nd"
5372:
5298:
756:
575:
8541:
7840:
6978:
6976:
5472:
4849:
4737:
4733:
4472:
4398:
4394:
4037:
3946:
3942:
3729:
3642:
3638:
3227:
3135:
3131:
2684:
2601:
2597:
2128:
1789:
1231:
909:
545:
443:
302:
5413:
8681:
8337:"Power Consumption And Efficiency - AMD FX-8350 Review: Does Piledriver Fix Bulldozer's Flaws?"
8033:"AMD - Other Developer Guides: Secure Encrypted Virtualization Key Management PDF – 05/19/2016"
2045:
10588:
10151:
10143:
10037:
9917:
8860:
8032:
8007:
7951:
7484:
5844:
5500:
5488:
5434:
4877:
4865:
4811:
4453:
4007:
3707:
3205:
2700:
2665:
2105:
1817:
1805:
1758:
1247:
1200:
1028:
which add connectivity options including additional SATA and USB connections, and support for
612:
523:
366:
8779:
8723:
9936:
9851:
9828:
9782:
9589:
8880:
8737:
6720:
4890:
4552:
4117:
2208:
1006:
980:
439:
416:
187:
10686:
8611:
3982:
In February 2018, AMD announced the V1000 series of embedded Zen+Vega APUs with four SKUs.
640:
Move elimination, a method that reduces physical data movement to reduce power consumption.
9287:
9247:
9203:
6601:"AMD Zen Microarchitecture: Dual Schedulers, Micro-Op Cache and Memory Hierarchy Revealed"
6510:
6324:
5451:
5430:
mode (3201 supports only DDR4-2133), while 33xx and 34xx models support quad-channel mode.
4828:
2768:
1768:
1210:
1048:
842:
748:
556:
152:
90:
8755:
8709:
759:. This gives greater efficiency than the 32 nm and 28 nm processes of previous
904:
AMD has demonstrated an 8-core/16-thread Zen processor outperforming an equally-clocked
462:
A highly simplified illustration of the Zen microarchitecture: a core has a total of 512
377:
series of CPUs in February 2017. The first Zen-based preview system was demonstrated at
10196:
10163:
10070:
8667:
8653:
8639:
8625:
8597:
7111:
1029:
783:
782:
and includes a micro-op cache which feeds two schedulers, one each for the integer and
593:
6750:
6688:
6626:
1068:
458:
431:; and Epyc server processors offer 128 PCIe 3.0 lanes and octa-channel DDR4 using the
10726:
10536:
10422:
10382:
10332:
10255:
7167:
6233:
5454:
4908:
4831:
4121:
2772:
2212:
1771:
1213:
1040:
976:
767:, respectively. The "Summit Ridge" Zen family of CPUs use the AM4 socket and feature
634:
7504:
7013:
6393:"Overclocker delids an AMD Ryzen Threadripper chip and finds Epyc inside | PC Gamer"
10680:
10664:
10660:
10646:
10642:
10623:
10577:
10344:
10318:
10295:
9950:
9801:
8311:"AMD Reveals More Zen CPU Details, Officially Known As Ryzen, No Linux Details Yet"
8221:"AMD Zen FX CPUs, APUs Release Details Surface, Top-Notch Performance In The Cards"
8138:"AMD Announces Radeon Instinct: GPU Accelerators for Deep Learning, Coming in 2017"
5396:
Epyc embedded 7001 series models have identical specifications as Epyc 7001 series.
4488:
4053:
3745:
3243:
2144:
963:
862:
741:
630:
602:
401:
8695:
8479:
407:
process, are reportedly more energy efficient, and can execute significantly more
9306:
7613:"Intel's 'Tick-Tock' Seemingly Dead, Becomes 'Process-Architecture-Optimization'"
5847:(Press release). Sunnyvale, California: Advanced Micro Devices, Inc. 2017-03-02.
845:. Prior to Zen, AMD's smallest process size was 28 nm, as utilized by their
10027:
9922:
8846:"AMD's 2018 roadmap: Desktop APUs in February, second-generation Ryzen in April"
5444:
4821:
3700:
3198:
2658:
1748:
1190:
1018:
8824:"AMD's 2nd-gen Ryzen is coming in April, desktop Ryzen APUs arrive February 12"
8416:"The AMD Ryzen 7 1800X Review: Now and Zen | Power Consumption and Conclusions"
6449:"AMD: Zen chips headed to desktops, servers in 2016 - The Tech Report - Page 1"
4751:
performance is calculated from the base (or boost) core clock speed based on a
4412:
performance is calculated from the base (or boost) core clock speed based on a
3961:
performance is calculated from the base (or boost) core clock speed based on a
3657:
performance is calculated from the base (or boost) core clock speed based on a
3150:
performance is calculated from the base (or boost) core clock speed based on a
2615:
performance is calculated from the base (or boost) core clock speed based on a
10615:
10606:
10563:
10514:
10488:
10411:
10377:
10369:
10363:
10351:
10327:
10311:
10283:
10250:
10244:
10119:
10015:
10010:
9972:
9967:
9890:
8280:"CPU-Roadmap 2017 - 2018: KĂĽnftige AMD- und Intel-CPUs/-APUs in der Ăśbersicht"
7388:"AMD's next-gen CPU leak: 14nm, simultaneous multithreading, and DDR4 support"
7248:"AMD set to release first 'Zen'-based microprocessors in late 2016 – document"
7091:
5478:
5423:
5420:
4855:
4800:
4797:
4790:
4478:
4043:
3735:
3695:
3233:
3193:
2690:
2653:
2524:
2439:
2134:
2094:
1795:
1737:
1730:
1237:
1179:
1172:
946:
Pre-release reports stated APUs using the Zen architecture would also support
940:
936:
870:
768:
692:
684:
CLZERO instruction for clearing a cache line. Useful for handling ECC-related
616:
432:
428:
424:
420:
250:
245:
240:
8192:"AMD Naples High-Performance Server Chips With 32 Cores, 64 Threads Detailed"
7093:
Jim Keller On AMD's Next-Gen High Performance x86 Zen Core & K12 ARM Core
6938:
Zen is being built on an advanced GlobalFoundries-sourced 14nm FinFET process
588:
Each SMT core can dispatch up to six micro-ops per cycle (a combination of 6
10391:
10387:
10337:
10288:
10260:
10236:
10229:
10222:
9977:
9955:
9945:
9905:
9900:
9266:"New AMD-Powered Supercomputers Unleash Discovery and Accelerate Innovation"
9037:"AMD launches broad Epyc server processor line with up to 32 cores per chip"
7813:
7195:"How AMD designed what could be its most competitive processors in a decade"
6342:"AMD Reveals Why Threadripper CPUs Have 4 Dies Under the Hood - ExtremeTech"
6328:
6082:
5940:"AMD says Zen CPU will outperform Intel Broadwell-E, delays release to 2017"
4482:
4047:
2138:
913:
858:
670:
599:
Close to 2Ă— faster L1 and L2 bandwidth, with total L3 cache bandwidth up 5Ă—.
582:
559:. Processors with non-multiple-of-four core counts have some cores disabled.
381:, and first substantially detailed at an event hosted a block away from the
117:
4773:
396:
Zen is a clean sheet design that differs from AMD's previous long-standing
9146:"AMD's Future in Servers: New 7000-Series CPUs Launched and EPYC Analysis"
8573:"AMD Ryzen Threadripper: Everything we know so far about this monster CPU"
7588:"Intel says not to expect mainstream 10nm chips until 2H19 | Ars Technica"
6144:"AMD's Future in Servers: New 7000-Series CPUs Launched and Epyc Analysis"
1137:
In December 2016, AMD officially announced the desktop CPU line under the
486:
419:. Zen processors use three different sockets: desktop Ryzen chips use the
9885:
8165:"Radeon Instinct Machine Learning GPUs include Vega, Preview Performance"
7783:"AMD Zen Microarchiture Part 2: Extracting Instruction-Level Parallelism"
6524:"AMD Zen Confirmed for 2016, Features 40% IPC Improvement Over Excavator"
6397:
4537:
4532:
4527:
4102:
4097:
4092:
3794:
3789:
3784:
3292:
3287:
3282:
2753:
2748:
2743:
2193:
2188:
2183:
7670:"AMD Announces Zen, 40% IPC Improvement Over Excavator - Coming In 2016"
6721:"'Neural network' spotted deep inside Samsung's Galaxy S7 silicon brain"
10530:
10523:
10444:
10428:
10357:
9994:
9989:
9962:
9757:
9689:
9634:
6918:"AMD Shipping Zen In Limited Quantity Q4, Volume Rollout Ramps Q1 2017"
5800:
3883:
3801:
3492:
3383:
1122:
806:
713:
Support for intel-style running average power limit (RAPL) measurement.
43:
8984:"AMD Prepares 32-Core Naples CPUs for 1P and 2P Servers: Coming in Q2"
7303:"Samsung to fab AMD Zen & Arctic islands on its 14 nm Finfet node"
6871:
5726:
5687:
5635:
5619:
5594:
5572:
5533:
5330:
5308:
5273:
5265:
5258:
5244:
5237:
5211:
5204:
5184:
5177:
5169:
5162:
5131:
5124:
5099:
5092:
5084:
5077:
5060:
5053:
5039:
5032:
5001:
4994:
4972:
4965:
4925:
4672:
4649:
4617:
4544:
4325:
4309:
4285:
4255:
4236:
4200:
4109:
3579:
3550:
3533:
3516:
3452:
3435:
3418:
3299:
3055:
3042:
3002:
2979:
2969:
2942:
2932:
2899:
2877:
2855:
2839:
2760:
2058:
Ryzen APUs are identified by either the G or GE suffix in their name.
1934:
1915:
1871:
1647:
1629:
1614:
1585:
1553:
1538:
1511:
1496:
1467:
1432:
1414:
1385:
1370:
1344:
1306:
10303:
10276:
10216:
10208:
10201:
10189:
10182:
10175:
9984:
9932:
9895:
9880:
9875:
9870:
9791:
9778:
9629:
9624:
9608:
9603:
9598:
6475:"AMD: 'Bulldozer' was not a game-changer, but next-gen 'Zen' will be"
5795:
4509:
4074:
2165:
838:
795:
AMD began planning the Zen microarchitecture shortly after re-hiring
760:
744:
653:
404:
312:
174:
161:
17:
9367:
9365:
9363:
9361:
9359:
9357:
9355:
9353:
7363:"First-Gen AMD Ryzen CPUs are Appearing with 12nm Zen+ Architecture"
10568:
9674:
5820:
4428:
In 2019, AMD announced the R1000 series of embedded Zen+Vega APUs.
340:
37:
10670:
10651:
10628:
10596:
10582:
9912:
9865:
9860:
9847:
9837:
9796:
9705:
9699:
9694:
9684:
9679:
9585:
9333:"AMD Launches Ryzen Embedded V1000, EPYC Embedded 3000 Processors"
8931:"AMD Launches Ryzen Embedded V1000, EPYC Embedded 3000 Processors"
8448:
7952:"[RFC PATCH v1 00/18] x86: Secure Memory Encryption (AMD)"
7473:"CES: AMD finally unveils 28nm APU Kaveri to battle Intel Haswell"
6576:"A New x86 Core Architecture for the Next Generation of Computing"
6174:"HP ENVY x360 Convertible Laptop - 15z touch - HP® Official Store"
5825:
5810:
5482:
4859:
4772:
3766:
3739:
3264:
3237:
2725:
2714:
2694:
2060:
2044:
2036:
1799:
1241:
1162:
1138:
1114:
924:
866:
processors should not be expected before the second half of 2019.
541:
502:
494:
485:
477:
469:
457:
374:
297:
157:
100:
9427:
8008:"LKML - Tom Lendacky (AMD) explains AMD Secure Memory Encryption"
7081:
AMD, "Software Optimization Guider for AMD Family 17h Processors"
6984:"14nm AMD Zen CPU Will Have DDR4 and Simultaneous Multithreading"
5992:"AMD Zen-based 8-core Desktop CPU Arrives in 2016, on Socket FM3"
5437:: 96 KB (32 KB data + 64 KB instruction) per core.
4814:: 96 KB (32 KB data + 64 KB instruction) per core.
1761:: 96 KB (32 KB data + 64 KB instruction) per core.
1203:: 96 KB (32 KB data + 64 KB instruction) per core.
450:
By 2020, 260 million Zen cores have already been shipped by AMD.
9806:
8957:"AMD's Naples platform prepares to take Zen into the datacenter"
7841:"AMD's Zen processors to feature up to 32 cores, 8-channel DDR4"
6658:"AMD Zen Architecture Overview: Focus on Ryzen | PC Perspective"
5875:"GlobalFoundries announces 14nm validation with AMD Zen silicon"
4768:
2099:
1118:
1044:
1021:
1010:
920:
386:
307:
10074:
9431:
7809:"In Theory: How AMD's Ryzen will disrupt the gaming CPU market"
1113:
The Zen architecture is used in the current-generation desktop
526:
to write-back, allowing for lower latency and higher bandwidth.
515:, the main focus of Zen is on increasing per-core performance.
10134:
8724:"AMD Ryzen 3 PRO 2200GE Processor with Radeon Vega 8 Graphics"
7926:"Computex 2017: AMD Demos Ryzen Mobile SoC with Vega Graphics"
6781:
6779:
6777:
6367:"AMD Ryzen Threadripper Does Have Four 8-Core Dies (32-cores)"
1063:
1014:
805:
Zen was originally planned for 2017 following the ARM64-based
537:
512:
370:
77:
8738:"AMD Ryzen 3 PRO 2200G Processor with Radeon Vega 8 Graphics"
6813:"AMD Takes Computing to a New Horizon with Ryzen™ Processors"
9307:"A Look At The AMD EPYC Performance On The Amazon EC2 Cloud"
9223:"AMD EPYC 7261 8 Core CPU Quietly Launched L3 Cache Monster"
7333:"AMD Officially Diversifies 14nm Manufacturing With Samsung"
7028:"AMD's Zen core (family 17h) to have ten pipelines per core"
6787:"AMD Starts Linux Enablement On Next-Gen "Zen" Architecture"
6022:"Ryzen Threadripper CPUs will offer 16 cores and 32 threads"
1859:
1846:
1296:
1283:
637:, similar to that of Intel Haswell and Broadwell processors.
7505:"Intel Kaby Lake to compete against AMD Zen at end of 2016"
6952:"VLSI 2018: GlobalFoundries 12nm Leading-Performance, 12LP"
667:, SMEP, XSAVEC/XSAVES/XRSTORS, and CLFLUSHOPT instructions.
551:
A fundamental building block for all Zen-based CPUs is the
8710:"AMD Ryzen 3 2200GE Processor with Radeon Vega 8 Graphics"
6204:"AMD Zen-based CPUs and APUs will unify around Socket AM4"
6079:"AMD's 2016-2017 x86 Roadmap: Zen Is In, Skybridge Is Out"
1751:
lanes. 4 of the lanes are reserved as link to the chipset.
1193:
lanes. 4 of the lanes are reserved as link to the chipset.
735:
Suzanne Plummer, Zen team leader, on September 19th, 2015.
9087:
9085:
9083:
9081:
6504:
Software Optimization Guide for AMD Family 17h Processors
9079:
9077:
9075:
9073:
9071:
9069:
9067:
9065:
9063:
9061:
8640:"AMD Athlon 240GE Processor with Radeon Vega 3 Graphics"
8626:"AMD Athlon 220GE Processor with Radeon Vega 3 Graphics"
8598:"AMD Athlon 200GE Processor with Radeon Vega 3 Graphics"
9139:
9137:
9011:"AMD's Naples datacenter CPUs will make an Epyc splash"
8362:"AMD Ryzen 7 1800X: Power Consumption And Temperatures"
8088:"How AMD's powerful Zen chip flouts the SoC stereotype"
6263:"How AMD's powerful Zen chip flouts the SoC stereotype"
1850:
1287:
1079:
853:
microarchitectures. The immediate competition, Intel's
710:"Pure Power" (more accurate power monitoring sensors).
626:
The branch predictor is decoupled from the fetch stage.
9179:"AMD EPYC New Details on the Emerging Server Platform"
9135:
9133:
9131:
9129:
9127:
9125:
9123:
9121:
9119:
9117:
6049:"AMD EPYC New Details on the Emerging Server Platform"
389:
server processors launched in June 2017 and Zen-based
8844:
Peter Bright - Jan 8, 2018 9:50 pm UTC (2018-01-08).
7222:"Joe Macri : The Disruptive Nature of AMD Ryzen"
841:
silicon. These processors are reportedly produced at
482:
Photomontage of a delidded Zen CPU with an etched die
9172:
9170:
9168:
9166:
8250:"32-core AMD Opteron to feature quad-die MCM design"
7900:"Zen-based APU with HBM to be AMD Carrizo successor"
10003:
9931:
9846:
9826:
9819:
9777:
9770:
9748:
9717:
9617:
9584:
9575:
9465:
7418:"Reports: Chip made by GlobalFoundries beats Intel"
7138:"Amid challenges, chipmaker AMD sees a way forward"
6903:"Amid challenges, chipmaker AMD sees a way forward"
4889:
4876:
1831:
1821:
1816:
1804:
1783:
1268:
1258:
1246:
1225:
799:in August 2012. AMD formally revealed Zen in 2015.
346:
336:
326:
321:
290:
264:
259:
233:
202:
186:
181:
168:
151:
146:
134:
126:
115:
110:
99:
83:
73:
55:
50:
42:The logo for the Zen microarchitecture is a closed
7644:"AMD Briefly Shows Off Zen "Summit Ridge" Silicon"
7444:"AMD: We have taped out our first FinFET products"
6751:"The microarchitecture of Intel, AMD and VIA CPUs"
6293:"Early AMD Zen Server CPU and Motherboard Details"
8654:"AMD Athlon 3000G Processor with Radeon Graphics"
8513:"AMD Ryzen 5 1600 Review Pops Up Ahead of Launch"
5869:
5867:
5865:
1842:
1279:
27:2017 AMD 14-nanometer processor microarchitecture
7479:. Archived from the original on January 9, 2014.
6842:"Linux support for Power Measurement Interfaces"
5375:, only available as single socket configuration.
1855:
1292:
122:64 KB instruction, 32 KB data per core
8535:
8533:
8113:Justin, Michael; Sexton, Allen (3 March 2017).
985:
727:
536:. This is a feature previously offered in some
9373:"Product Brief: AMD EPYC Embedded 3000 Family"
8506:
8504:
8502:
8500:
8473:
8471:
8469:
6689:"Strided Sampling Hashed Perceptron Predictor"
10086:
9443:
6901:Kirk Ladendorf - For the American-Statesman.
5756:
5348:
4702:
4363:
3911:
3607:
3100:
2566:
1977:
1673:
8:
8566:
8564:
8562:
8442:
8440:
8065:"Podcast #432 - Kaby Lake, Vega, CES Review"
7894:
7892:
7871:"ECC Memory & AMD's Ryzen - A Deep Dive"
7050:
7048:
6072:
6070:
1167:Common features of Ryzen 1000 desktop CPUs:
970:Enhanced security and virtualization support
656:support, a set of high-performance hardware
30:
8865:: CS1 maint: numeric names: authors list (
8387:"AMD Ryzen 7 1800X and AM4 Platform Review"
7749:
7747:
7745:
7562:"AMD touts Zen die size advantage at ISSCC"
7012:. Shattered.Media. May 2015. Archived from
6117:"AMD gives us our first real moment of Zen"
5966:"Details of AMD Zen 16-core x86 APU emerge"
10093:
10079:
10071:
9823:
9774:
9581:
9450:
9436:
9428:
8063:L, Alex; Walrath, Josh (12 January 2017).
5763:
5749:
5460:
5355:
5341:
4837:
4709:
4695:
4370:
4356:
3918:
3904:
3614:
3600:
3107:
3093:
2573:
2559:
1984:
1970:
1777:
1680:
1666:
1219:
1024:links. This can be augmented by available
195:4.8 billion per 8-core "Zeppelin" die
36:
7756:"AMD crests Summit Ridge with Ryzen CPUs"
6318:AMD Shipped 260 Million Zen Cores by 2020
6110:
6108:
6106:
6104:
5933:
5931:
5929:
5927:
5925:
5416:(31xx and 32xx models use SP4r2 package).
1725:Common features of Ryzen 1000 HEDT CPUs:
10115:
9402:"AMD EPYC Embedded 3255 - PE3255BGR88AF"
7807:Leadbetter, Richard (22 February 2017).
7535:"AMD Zen: A serious challenge to Intel?"
5672:
5557:
4949:
4522:
4430:
4087:
3984:
3779:
3672:
3277:
3170:
2738:
2630:
2178:
2068:
1897:
10587:12 and 16 cores on mainstream desktop,
9268:(Press release). AMD. November 13, 2018
6234:"Ryzen™ Threadripper™ Processors | AMD"
5836:
5772:
5746:
5364:
5338:
4718:
4517:
4379:
4082:
3927:
3774:
3623:
3272:
3116:
2733:
2582:
2173:
2011:
1993:
1854:
1841:
1838:
1707:
1689:
1291:
1278:
1275:
837:Processors based on Zen use 14 nm
10738:Computer-related introductions in 2017
10138:
8990:from the original on 11 September 2017
8858:
7703:from the original on February 16, 2016
7482:
7258:from the original on 13 September 2015
6550:"The Core Complex, Caches, and Fabric"
1047:lanes per Naples CPU allows for eight
608:Larger retire, load, and store queues.
29:
10691:
10675:
10655:
10572:
10560:
10473:
10447:introduced; CPU and GPU on single die
10436:
10419:
10415:
10322:
10160:
9305:Larabel, Michael (November 7, 2018).
9221:Kennedy, Patrick (October 31, 2018).
8317:from the original on 14 December 2016
8309:Larabel, Michael (13 December 2016).
8144:from the original on 12 December 2016
8086:Mah Ung, Gordon (28 September 2016).
7762:from the original on 14 December 2016
6123:from the original on 18 November 2016
5938:Anthony, Sebastian (18 August 2016).
4319:
4246:
1780:
1222:
660:instructions introduced in Broadwell.
7:
10707:are the microarchitecture names
8696:"HP Desktop Pro A G2 Specifications"
8571:Ung, Gordon Mah (6 September 2017).
8094:from the original on 6 February 2017
7568:from the original on 9 February 2017
6214:from the original on 2 February 2017
4807:mode (7251 supports only DDR4-2400).
139:
10701:indicates cancelled processors
10060:indicates an upcoming architecture.
8963:from the original on 18 August 2017
8542:"AMD Ryzen 3 1200 and 1300X review"
8540:Hagedoorn, Hilbert (27 July 2017).
8171:from the original on 11 August 2017
7424:from the original on 23 August 2016
7063:from the original on 19 August 2016
6882:from the original on 26 August 2018
6756:. Technical University of Denmark.
6637:from the original on 25 August 2016
5946:from the original on 18 August 2016
4140:
2001:Manufacturer suggested retail price
1697:Manufacturer suggested retail price
740:The Zen architecture is built on a
650:(excluding VT-x and private MSRs):
522:The L1 cache has been changed from
7977:"AMD MEMORY ENCRYPTION WHITEPAPER"
7932:from the original on 22 March 2019
7754:Kampman, Jeff (13 December 2016).
7623:from the original on 23 March 2016
7331:Moorhead, Patrick (25 July 2016).
7228:from the original on 22 April 2017
7193:TAKAHASHI, Dean (24 August 2016).
6990:from the original on 10 March 2015
6625:Mujtaba, Hassan (23 August 2016).
6473:Anton Shilov (11 September 2014).
6299:from the original on 22 March 2017
5903:Cutress, Ian (February 22, 2017).
518:New or improved features include:
25:
9331:Alcorn, Paul (21 February 2018).
9177:Kennedy, Patrick (May 16, 2017).
9093:"AMD EPYC 7000 Series Processors"
8929:Alcorn, Paul (21 February 2018).
8290:from the original on 1 March 2017
8163:Shrout, Ryan (12 December 2016).
7821:from the original on 9 March 2017
7722:Cutress, Ian (22 February 2017).
7560:Manion, Wayne (8 February 2017).
7541:from the original on 23 June 2016
7416:Rulison, Larry (22 August 2016).
7343:from the original on 26 July 2016
7201:from the original on 4 March 2017
7174:from the original on 4 March 2017
6793:from the original on 8 March 2017
6154:from the original on 21 June 2017
2041:Ryzen 5 1600 CPU on a motherboard
954:Power consumption and heat output
530:SMT (simultaneous multithreading)
9017:from the original on 17 May 2017
8905:"AMD Radeon Vega 3 Mobile Specs"
8136:Smith, Ryan (12 December 2016).
7877:from the original on 4 July 2017
7676:from the original on 5 June 2016
7650:from the original on 5 June 2016
7166:Merritt, Rick (24 August 2016).
6588:from the original on 2016-11-26.
6485:from the original on 4 June 2016
6115:Kampman, Jeff (18 August 2016).
6055:from the original on 6 June 2017
6047:Kennedy, Patrick (16 May 2017).
6028:from the original on 17 May 2017
2049:Threadripper 1950X TR4 in socket
1121:server processors (successor of
1067:
10633:High core density "Cloud" (Zen
10368:4 cores on mainstream desktop,
9288:"AMD EPYC 7571 - PS7571BDVIHAF"
9248:"AMD EPYC 7371 - PS7371BDVGPAF"
9204:"AMD EPYC 7261 - PS7261BEV8RAF"
9047:from the original on 2017-08-08
8447:Chen, Sam (February 13, 2020).
8397:from the original on 2017-03-13
8278:Mark Mantel (7 February 2017).
8260:from the original on 2016-01-25
8231:from the original on 2015-12-25
8202:from the original on 2018-11-24
8045:from the original on 2017-03-25
8014:from the original on 2016-08-04
7989:from the original on 2016-05-09
7958:from the original on 2016-05-01
7906:from the original on 2016-01-12
7851:from the original on 2016-02-28
7789:from the original on 2017-03-12
7726:. Anandtech.com. Archived from
7594:from the original on 2018-07-29
7511:from the original on 2016-03-06
7454:from the original on 2016-01-25
7398:from the original on 2016-01-25
7369:from the original on 2019-12-22
7313:from the original on 2016-01-09
7284:from the original on 2015-11-04
7148:from the original on 2020-01-04
7118:from the original on 2015-10-15
7055:Cutress, Ian (18 August 2016).
7034:from the original on 2015-10-29
6962:from the original on 2019-04-07
6852:from the original on 2018-04-05
6823:from the original on 2018-06-12
6763:from the original on 2017-03-28
6731:from the original on 2017-09-19
6701:from the original on 2016-09-19
6607:from the original on 2016-08-19
6556:from the original on 2017-06-25
6455:from the original on 2015-05-09
6430:from the original on 2015-05-11
6405:from the original on 2020-10-31
6373:from the original on 2018-07-02
6348:from the original on 2020-11-02
6291:Cutress, Ian (18 August 2016).
6273:from the original on 2017-02-06
6244:from the original on 2017-09-29
6184:from the original on 2017-12-10
6089:from the original on 8 May 2015
6002:from the original on 2016-03-02
5972:from the original on 2 May 2016
5885:from the original on 2016-12-07
5851:from the original on 2021-10-28
5816:Steamroller (microarchitecture)
5440:L2 cache: 512 KB per core.
4817:L2 cache: 512 KB per core.
1764:L2 cache: 512 KB per core.
1206:L2 cache: 512 KB per core.
1131:AMD Accelerated Processing Unit
751:, which in turn licenses its 14
507:Die shot of an AMD Athlon 3000G
373:. It was first used with their
147:Architecture and classification
10601:3D V-Cache variants introduced
9144:Cutress, Ian (June 20, 2017).
8955:Kampman, Jeff (7 March 2017).
8422:. 2 March 2017. Archived from
8190:Mujtaba, Hassan (2017-03-07).
7220:Wong, Adrian (18 April 2017).
6986:. Softpedia. 28 January 2015.
6656:Walrath, Josh (2 March 2017).
6451:. techreport.com. 6 May 2015.
6202:Brad Chacos (8 January 2016).
778:Each Zen core can decode four
361:is the first iteration in the
1:
10464:8 cores on mainstream desktop
10396:6 cores on mainstream desktop
9009:Kampman, Jeff (16 May 2017).
8982:Cutress, Ian (7 March 2017).
6020:Kampman, Jeff (16 May 2017).
5447:lanes per CCD (max 64 lanes).
5371:Models with "P" suffixes are
869:For identical designs, these
771:support and a 95 W TDP (
400:. Zen-based processors use a
8511:Wan, Samuel (5 April 2017).
7924:Shrout, Ryan (30 May 2017).
7695:Ian Cutress (June 2, 2015).
7533:Edward Jones (21 Oct 2016).
6916:Lilly, Paul (23 July 2016),
6694:. Texas A&M University.
6391:Lilly, Paul (28 July 2017).
2065:Die shot of an AMD 2200G APU
891:instructions per cycle (IPC)
828:Advantages over predecessors
780:instructions per clock cycle
10101:AMD CPU core roadmaps from
7642:Smith, Ryan (31 May 2016).
7057:"AMD Zen Microarchitecture"
6950:Schor, David (2018-07-22).
6789:. Phoronix. 17 March 2015.
5779:
5384:
3043:Ryzen 7 Pro 2700U
2970:Ryzen 5 Pro 2500U
2933:Ryzen 3 Pro 2300U
2018:
1714:
939:(up to eight channels) and
623:by AMD engineer Mike Clark.
10759:
10131:
10124:
8682:"AMD Athlon Silver 3050GE"
8612:"AMD Athlon PRO 200GE APU"
8480:"AMD Ryzen 7 1700X Review"
8115:"AMD's AM4 Ryzen Chipsets"
6870:Chen, Sam (24 June 2017).
6548:Ian Cutress (2017-03-02).
5404:Embedded server processors
5046:
4947:
4766:
3363:
3360:
3357:
3339:
3336:
3329:
3320:
3308:
2821:
2818:
2801:
2794:
2785:
2767:
2274:
2264:
2261:
2244:
2237:
2228:
2207:
1767:Node/fabrication process:
1324:
1209:Node/fabrication process:
1160:
1001:Incorporating much of the
393:arrived in November 2017.
277:Raven Ridge (APU/Embedded)
260:Products, models, variants
10679:
10659:
10641:
10632:
10622:
10613:
10605:
10600:
10586:
10576:
10562:
10552:
10540:
10535:
10521:
10512:
10497:
10487:
10477:
10463:
10451:
10443:
10426:
10421:
10410:
10400:
10395:
10381:
10376:
10367:
10355:
10350:
10331:
10326:
10310:
10287:
10282:
10254:
10249:
10242:
10214:
10195:
10167:
10162:
10133:
10126:
10118:
10113:
10052:
7489:: CS1 maint: unfit URL (
7142:Austin American-Statesman
6077:Ryan Smith (6 May 2015).
5720:
5679:
5676:
5670:
5664:
5661:
5626:
5623:
5613:
5607:
5604:
5582:
5564:
5555:
5546:
5543:
5519:
5516:
5509:
5504:
5499:
5494:
5487:
5477:
5466:
5463:
5282:
5251:
5248:
5230:
5224:
5218:
5215:
5153:
5150:
5147:
5144:
5141:
5138:
5135:
5070:
5067:
5064:
5023:
5020:
5014:
5011:
5005:
4982:
4953:
4938:
4935:
4929:
4907:
4904:
4901:
4898:
4886:
4881:
4871:
4864:
4854:
4843:
4840:
4820:All the CPUs support 128
4662:
4653:
4630:
4621:
4597:
4594:
4589:
4586:
4583:
4576:
4567:
4551:
4548:
4503:
4498:
4495:
4492:
4487:
4477:
4466:
4459:
4452:
4449:
4446:
4441:
4436:
4433:
4316:
4299:
4292:
4279:
4276:
4271:
4268:
4265:
4259:
4249:
4227:
4224:
4219:
4207:
4204:
4187:
4178:
4161:
4154:
4134:
4116:
4068:
4063:
4060:
4057:
4052:
4042:
4031:
4018:
4013:
4006:
4003:
4000:
3995:
3990:
3987:
3872:
3865:
3862:
3859:
3856:
3853:
3848:
3841:
3838:
3831:
3822:
3819:
3816:
3813:
3810:
3760:
3755:
3752:
3749:
3744:
3734:
3723:
3718:
3713:
3706:
3699:
3694:
3691:
3688:
3683:
3678:
3675:
3568:
3565:
3562:
3559:
3505:
3502:
3499:
3478:
3475:
3470:
3461:
3407:
3404:
3401:
3396:
3393:
3390:
3311:
3258:
3253:
3250:
3247:
3242:
3232:
3221:
3216:
3211:
3204:
3197:
3192:
3189:
3186:
3181:
3176:
3173:
3036:
3029:
3026:
3023:
3018:
3012:
3009:
2963:
2958:
2955:
2952:
2949:
2926:
2923:
2918:
2915:
2912:
2909:
2906:
2833:
2824:
2815:
2812:
2807:
2804:
2776:
2719:
2710:
2707:
2704:
2699:
2689:
2678:
2671:
2664:
2657:
2652:
2649:
2646:
2641:
2636:
2633:
2534:
2531:
2528:
2500:
2497:
2494:
2489:
2486:
2483:
2480:
2477:
2464:
2449:
2446:
2443:
2415:
2410:
2407:
2404:
2401:
2398:
2381:
2348:
2345:
2332:
2329:
2316:
2301:AMD 65W thermal solution
2300:
2267:
2258:
2255:
2250:
2247:
2225:
2222:
2219:
2217:AMD 65W thermal solution
2159:
2154:
2151:
2148:
2143:
2133:
2122:
2113:
2104:
2098:
2093:
2090:
2087:
2084:
2079:
2074:
2071:
1904:
1895:
1892:
1889:
1886:
1881:
1865:
1826:
1811:
1794:
1641:
1636:
1633:
1623:
1618:
1600:
1595:
1592:
1589:
1581:
1568:
1529:
1526:
1521:
1518:
1515:
1500:
1482:
1479:
1474:
1471:
1456:
1453:
1436:
1428:
1400:
1397:
1392:
1389:
1356:
1351:
1348:
1330:
1327:
1310:
1302:
1263:
1253:
1236:
747:process subcontracted to
581:Newly introduced "large"
351:
35:
7168:"AMD Reveals Zen of X86"
7010:"AMD's next gen CPU Zen"
5443:All the CPUs support 32
1747:All the CPUs support 64
1189:All the CPUs support 24
686:Machine-check exceptions
7112:"Jim Keller Leaves AMD"
3066:Radeon RX Vega 11
3016:Radeon RX Vega 10
1754:No integrated graphics.
1196:No integrated graphics.
1125:processors), and APUs.
1009:, the Zen CPU includes
791:History and development
658:random number generator
182:Physical specifications
10743:X86 microarchitectures
10733:AMD microarchitectures
10713:are future processors
10637:c) variants introduced
9424: – AMD
4778:
2066:
2050:
2042:
1076:This section is empty.
990:
893:over its predecessor.
738:
703:kB page tables into 32
534:Bulldozer architecture
508:
500:
492:
483:
475:
467:
409:instructions per cycle
398:Bulldozer architecture
365:of computer processor
283:Snowy Owl (Server APU)
271:Summit Ridge (Desktop)
223:Up to 32 (server)
220:8–16 (enthusiast)
7869:MAC (30 March 2017).
5806:Jim Keller (engineer)
5450:Fabrication process:
5419:All the CPUs support
4827:Fabrication process:
4796:All the CPUs support
4776:
4730:Texture Mapping Units
4391:Texture Mapping Units
3939:Texture mapping units
3635:Texture mapping units
3128:Texture mapping units
2594:Texture Mapping Units
2354:Athlon Silver 3050GE
2064:
2048:
2040:
1736:All the CPUs support
1178:All the CPUs support
948:High Bandwidth Memory
833:Manufacturing process
596:micro-ops per cycle).
583:micro-operation cache
506:
498:
489:
481:
474:Ryzen 3 1200 die shot
473:
461:
383:Intel Developer Forum
217:4–8 (mainstream)
61:; 7 years ago
8449:"What is XFR? (AMD)"
7873:. Hardware Canucks.
5780:Core Complexes (CCX)
5740:80–100 W
5385:Core Complexes (CCX)
3050:Radeon Vega 10
2761:Athlon Pro 200U
2019:Core Complexes (CCX)
1715:Core Complexes (CCX)
1117:CPUs. It is also in
773:thermal design power
644:Binary compatibility
576:floating-point units
214:2–4 (essential)
170:Instruction set
153:Technology node
130:512 KB per core
8804:"AMD Ryzen 5 2400G"
7730:on 27 February 2017
7280:. 2 November 2015.
5701:60–80 W
5640:25–55 W
4734:Render Output Units
4395:Render Output Units
3973:Embedded processors
3943:Render output units
3639:Render output units
3453:Athlon Silver 3050e
3436:Athlon Silver 3050C
3419:Athlon Silver 3050U
3132:Render output units
3084:35–54 W
3037:12–25 W
2997:35–54 W
2956:Radeon Vega 8
2916:Radeon Vega 6
2834:12–25 W
2805:Radeon Vega 3
2598:Render Output Units
2506:Ryzen 5 Pro 2400GE
2421:Ryzen 3 Pro 2200GE
2364:Ryzen 3 Pro 2100GE
1781:Branding and Model
1223:Branding and Model
1026:Socket AM4 chipsets
757:Samsung Electronics
331:Excavator (4th gen)
280:Naples (Server CPU)
84:Common manufacturer
51:General information
32:
9577:Microarchitectures
9562:Microarchitectures
8698:. Hewlett-Packard.
8668:"AMD Athlon 300GE"
7928:. PC Perspective.
7902:. 4 January 2016.
7724:"AMD Launches Zen"
7030:. 3 October 2015.
6846:web.eece.maine.edu
6668:on 12 October 2017
6581:. AMD. p. 7.
6509:2017-07-12 at the
6323:2021-10-29 at the
6051:. Serve the Home.
4779:
4738:Compute Units (CU)
4549:February 25, 2020
4399:Compute Units (CU)
3060:Sep 10, 2018
3056:Ryzen 7 2800H
3007:Oct 26, 2017
3003:Ryzen 7 2700U
2984:Sep 10, 2018
2980:Ryzen 5 2600H
2974:May 15, 2018
2947:Oct 26, 2017
2943:Ryzen 5 2500U
2937:May 15, 2018
2900:Ryzen 3 2300U
2878:Ryzen 3 3200U
2856:Ryzen 3 2200U
2602:Compute Units (CU)
2542:Ryzen 5 Pro 2400G
2457:Ryzen 3 Pro 2200G
2067:
2051:
2043:
1157:Desktop processors
1104:APU features table
699:, which combines 4
633:for modifying the
509:
501:
493:
484:
476:
468:
438:Zen is based on a
367:microarchitectures
303:Ryzen Threadripper
265:Product code names
10720:
10719:
10695:
10694:
10513:APU/mobile-only,
10312:Taylor / Trinidad
10068:
10067:
10048:
10047:
9815:
9814:
9766:
9765:
9408:. March 26, 2023.
9294:. March 25, 2023.
9254:. March 26, 2023.
9210:. March 26, 2023.
8284:PC Games Hardware
7136:Ladendorf, Kirk.
6719:Williams, Chris.
6687:Jiménez, Daniel.
5968:. 13 April 2015.
5744:
5743:
5336:
5335:
4763:Server processors
4690:
4689:
4637:
4605:
4581:
4574:
4351:
4350:
4297:
4185:
4159:
4152:
3899:
3898:
3895:
3878:
3870:
3836:
3829:
3595:
3594:
3591:
3574:
3545:
3534:Athlon Gold 3150C
3528:
3517:Athlon Gold 3150U
3511:
3487:
3447:
3430:
3413:
3378:
3370:
3334:
3327:
3162:Ultra-mobile APUs
3088:
3087:
3081:
3034:
2994:
2904:Jan 8, 2018
2882:Jan 6, 2019
2860:Jan 8, 2018
2844:Jan 6, 2019
2831:
2799:
2792:
2554:
2553:
2519:February 12, 2018
2434:February 12, 2018
2386:
2325:November 19, 2019
2312:December 21, 2018
2296:December 21, 2018
2283:September 6, 2018
2280:Athlon Pro 200GE
2272:
2242:
2235:
2203:September 6, 2018
2085:Thermal Solution
2077:& price
1965:
1964:
1661:
1660:
1147:K15h (Piledriver)
1096:
1095:
1043:systems. The 128
981:cold boot attacks
906:Intel Broadwell-E
742:14 nanometer
613:branch prediction
356:
355:
274:Whitehaven (HEDT)
16:(Redirected from
10750:
10116:
10095:
10088:
10081:
10072:
9824:
9775:
9771:Current products
9725:Bobcat (aka 14h)
9718:x86-64 low-power
9582:
9452:
9445:
9438:
9429:
9422:Ryzen Processors
9410:
9409:
9398:
9392:
9391:
9389:
9387:
9377:
9369:
9348:
9347:
9345:
9343:
9328:
9322:
9321:
9319:
9317:
9302:
9296:
9295:
9284:
9278:
9277:
9275:
9273:
9262:
9256:
9255:
9244:
9238:
9237:
9235:
9233:
9218:
9212:
9211:
9200:
9194:
9193:
9191:
9189:
9174:
9161:
9160:
9158:
9156:
9141:
9112:
9111:
9109:
9107:
9097:
9089:
9056:
9055:
9053:
9052:
9033:
9027:
9026:
9024:
9022:
9006:
9000:
8999:
8997:
8995:
8979:
8973:
8972:
8970:
8968:
8952:
8946:
8945:
8943:
8941:
8926:
8920:
8919:
8917:
8915:
8901:
8895:
8894:
8892:
8891:
8877:
8871:
8870:
8864:
8856:
8854:
8853:
8841:
8835:
8834:
8832:
8831:
8820:
8814:
8813:
8811:
8810:
8800:
8794:
8793:
8791:
8790:
8776:
8770:
8769:
8767:
8766:
8752:
8746:
8745:
8734:
8728:
8727:
8720:
8714:
8713:
8706:
8700:
8699:
8692:
8686:
8685:
8678:
8672:
8671:
8664:
8658:
8657:
8650:
8644:
8643:
8636:
8630:
8629:
8622:
8616:
8615:
8608:
8602:
8601:
8594:
8588:
8587:
8585:
8583:
8568:
8557:
8556:
8554:
8552:
8537:
8528:
8527:
8525:
8523:
8508:
8495:
8494:
8492:
8490:
8475:
8464:
8463:
8461:
8459:
8444:
8435:
8434:
8432:
8431:
8412:
8406:
8405:
8403:
8402:
8383:
8377:
8376:
8374:
8373:
8358:
8352:
8351:
8349:
8348:
8333:
8327:
8326:
8324:
8322:
8306:
8300:
8299:
8297:
8295:
8275:
8269:
8268:
8266:
8265:
8246:
8240:
8239:
8237:
8236:
8217:
8211:
8210:
8208:
8207:
8187:
8181:
8180:
8178:
8176:
8160:
8154:
8153:
8151:
8149:
8133:
8127:
8126:
8124:
8122:
8117:. Tom's Hardware
8110:
8104:
8103:
8101:
8099:
8083:
8077:
8076:
8074:
8072:
8067:. PC Perspective
8060:
8054:
8053:
8051:
8050:
8044:
8037:
8029:
8023:
8022:
8020:
8019:
8004:
7998:
7997:
7995:
7994:
7988:
7981:
7973:
7967:
7966:
7964:
7963:
7948:
7942:
7941:
7939:
7937:
7921:
7915:
7914:
7912:
7911:
7896:
7887:
7886:
7884:
7882:
7866:
7860:
7859:
7857:
7856:
7837:
7831:
7830:
7828:
7826:
7804:
7798:
7797:
7795:
7794:
7778:
7772:
7771:
7769:
7767:
7751:
7740:
7739:
7737:
7735:
7719:
7713:
7712:
7710:
7708:
7692:
7686:
7685:
7683:
7681:
7666:
7660:
7659:
7657:
7655:
7639:
7633:
7632:
7630:
7628:
7609:
7603:
7602:
7600:
7599:
7590:. 27 July 2018.
7584:
7578:
7577:
7575:
7573:
7557:
7551:
7550:
7548:
7546:
7530:
7524:
7523:
7517:
7516:
7501:
7495:
7494:
7488:
7480:
7469:
7463:
7462:
7460:
7459:
7440:
7434:
7433:
7431:
7429:
7413:
7407:
7406:
7404:
7403:
7384:
7378:
7377:
7375:
7374:
7359:
7353:
7352:
7350:
7348:
7328:
7322:
7320:
7319:
7318:
7299:
7293:
7292:
7290:
7289:
7274:
7268:
7267:
7265:
7263:
7254:. 12 June 2015.
7244:
7238:
7237:
7235:
7233:
7217:
7211:
7210:
7208:
7206:
7190:
7184:
7183:
7181:
7179:
7163:
7157:
7156:
7154:
7153:
7133:
7127:
7126:
7124:
7123:
7108:
7102:
7101:
7088:
7082:
7079:
7073:
7072:
7070:
7068:
7052:
7043:
7042:
7040:
7039:
7024:
7018:
7017:
7006:
7000:
6999:
6997:
6995:
6980:
6971:
6970:
6968:
6967:
6947:
6941:
6940:
6935:
6933:
6928:on 21 April 2019
6924:, archived from
6913:
6907:
6906:
6898:
6892:
6891:
6889:
6887:
6876:Custom PC Review
6867:
6861:
6860:
6858:
6857:
6838:
6832:
6831:
6829:
6828:
6809:
6803:
6802:
6800:
6798:
6783:
6772:
6771:
6769:
6768:
6762:
6755:
6746:
6740:
6739:
6737:
6736:
6716:
6710:
6709:
6707:
6706:
6700:
6693:
6684:
6678:
6677:
6675:
6673:
6664:. Archived from
6653:
6647:
6646:
6644:
6642:
6622:
6616:
6615:
6613:
6612:
6596:
6590:
6589:
6587:
6580:
6571:
6565:
6564:
6562:
6561:
6545:
6539:
6538:
6536:
6535:
6526:. Archived from
6520:
6514:
6513:/ AMD, June 2017
6501:
6495:
6494:
6492:
6490:
6470:
6464:
6463:
6461:
6460:
6445:
6439:
6438:
6436:
6435:
6426:. techspot.com.
6420:
6414:
6413:
6411:
6410:
6388:
6382:
6381:
6379:
6378:
6363:
6357:
6356:
6354:
6353:
6338:
6332:
6315:
6309:
6308:
6306:
6304:
6288:
6282:
6281:
6279:
6278:
6259:
6253:
6252:
6250:
6249:
6230:
6224:
6223:
6221:
6219:
6199:
6193:
6192:
6190:
6189:
6170:
6164:
6163:
6161:
6159:
6139:
6133:
6132:
6130:
6128:
6112:
6099:
6098:
6096:
6094:
6074:
6065:
6064:
6062:
6060:
6044:
6038:
6037:
6035:
6033:
6017:
6011:
6010:
6008:
6007:
5988:
5982:
5981:
5979:
5977:
5962:
5956:
5955:
5953:
5951:
5942:. Ars Technica.
5935:
5920:
5919:
5917:
5915:
5900:
5894:
5893:
5891:
5890:
5871:
5860:
5859:
5857:
5856:
5841:
5783:
5777:
5765:
5758:
5751:
5682:
5674:
5645:
5567:
5559:
5461:
5397:
5394:
5388:
5382:
5376:
5369:
5357:
5350:
5343:
5324:
5294:
5156:
5118:
5026:
4988:
4959:
4951:
4910:
4838:
4756:
4749:Single-precision
4746:
4740:
4723:
4711:
4704:
4697:
4666:
4643:
4633:
4611:
4603:
4579:
4572:
4524:
4519:
4431:
4417:
4410:Single-precision
4407:
4401:
4384:
4372:
4365:
4358:
4303:
4295:
4191:
4181:
4157:
4150:
4147:
4142:
4138:
4089:
4084:
3985:
3966:
3959:Single precision
3956:
3950:
3932:
3920:
3913:
3906:
3893:
3890:
3876:
3868:
3834:
3827:
3823:64 KB inst.
3808:
3781:
3776:
3673:
3662:
3655:Single precision
3652:
3646:
3628:
3616:
3609:
3602:
3589:
3586:
3572:
3557:
3543:
3540:
3526:
3523:
3509:
3493:Athlon PRO 3145B
3485:
3459:
3445:
3442:
3428:
3425:
3411:
3384:Athlon PRO 3045B
3376:
3366:
3332:
3325:
3321:64 KB inst.
3306:
3279:
3274:
3171:
3155:
3148:Single precision
3145:
3139:
3121:
3109:
3102:
3095:
3079:
3032:
2992:
2827:
2797:
2790:
2786:64 KB inst.
2740:
2735:
2631:
2620:
2613:Single-precision
2610:
2604:
2587:
2575:
2568:
2561:
2384:
2270:
2240:
2233:
2180:
2175:
2069:
2031:
2028:
2022:
2016:
2004:
1998:
1986:
1979:
1972:
1958:
1907:
1899:
1861:
1857:
1852:
1848:
1844:
1778:
1718:
1712:
1700:
1694:
1682:
1675:
1668:
1654:
1621:
1606:
1574:
1545:
1503:
1488:
1459:
1421:
1406:
1377:
1362:
1336:
1298:
1294:
1289:
1285:
1281:
1220:
1091:
1088:
1078:You can help by
1071:
1064:
880:
876:
755:nm process from
754:
736:
721:Precision Boost.
706:
702:
663:Support for the
592:micro-ops and 4
572:load–store units
465:
291:Brand names
142:(APU: 4 MB)
141:
69:
67:
62:
40:
33:
21:
10758:
10757:
10753:
10752:
10751:
10749:
10748:
10747:
10723:
10722:
10721:
10716:
10498:APU/mobile-only
10128:
10109:
10099:
10069:
10064:
10063:
10044:
9999:
9927:
9842:
9811:
9762:
9744:
9713:
9613:
9571:
9461:
9456:
9418:
9413:
9400:
9399:
9395:
9385:
9383:
9375:
9371:
9370:
9351:
9341:
9339:
9330:
9329:
9325:
9315:
9313:
9304:
9303:
9299:
9286:
9285:
9281:
9271:
9269:
9264:
9263:
9259:
9246:
9245:
9241:
9231:
9229:
9220:
9219:
9215:
9202:
9201:
9197:
9187:
9185:
9176:
9175:
9164:
9154:
9152:
9143:
9142:
9115:
9105:
9103:
9095:
9091:
9090:
9059:
9050:
9048:
9035:
9034:
9030:
9020:
9018:
9013:. Tech Report.
9008:
9007:
9003:
8993:
8991:
8981:
8980:
8976:
8966:
8964:
8959:. Tech Report.
8954:
8953:
8949:
8939:
8937:
8928:
8927:
8923:
8913:
8911:
8903:
8902:
8898:
8889:
8887:
8879:
8878:
8874:
8857:
8851:
8849:
8843:
8842:
8838:
8829:
8827:
8822:
8821:
8817:
8808:
8806:
8802:
8801:
8797:
8788:
8786:
8778:
8777:
8773:
8764:
8762:
8754:
8753:
8749:
8736:
8735:
8731:
8722:
8721:
8717:
8708:
8707:
8703:
8694:
8693:
8689:
8680:
8679:
8675:
8666:
8665:
8661:
8652:
8651:
8647:
8638:
8637:
8633:
8624:
8623:
8619:
8610:
8609:
8605:
8596:
8595:
8591:
8581:
8579:
8570:
8569:
8560:
8550:
8548:
8539:
8538:
8531:
8521:
8519:
8510:
8509:
8498:
8488:
8486:
8478:Safford, Matt.
8477:
8476:
8467:
8457:
8455:
8446:
8445:
8438:
8429:
8427:
8414:
8413:
8409:
8400:
8398:
8385:
8384:
8380:
8371:
8369:
8360:
8359:
8355:
8346:
8344:
8335:
8334:
8330:
8320:
8318:
8308:
8307:
8303:
8293:
8291:
8277:
8276:
8272:
8263:
8261:
8248:
8247:
8243:
8234:
8232:
8219:
8218:
8214:
8205:
8203:
8189:
8188:
8184:
8174:
8172:
8162:
8161:
8157:
8147:
8145:
8135:
8134:
8130:
8120:
8118:
8112:
8111:
8107:
8097:
8095:
8085:
8084:
8080:
8070:
8068:
8062:
8061:
8057:
8048:
8046:
8042:
8035:
8031:
8030:
8026:
8017:
8015:
8006:
8005:
8001:
7992:
7990:
7986:
7979:
7975:
7974:
7970:
7961:
7959:
7950:
7949:
7945:
7935:
7933:
7923:
7922:
7918:
7909:
7907:
7898:
7897:
7890:
7880:
7878:
7868:
7867:
7863:
7854:
7852:
7839:
7838:
7834:
7824:
7822:
7806:
7805:
7801:
7792:
7790:
7780:
7779:
7775:
7765:
7763:
7753:
7752:
7743:
7733:
7731:
7721:
7720:
7716:
7706:
7704:
7694:
7693:
7689:
7679:
7677:
7668:
7667:
7663:
7653:
7651:
7641:
7640:
7636:
7626:
7624:
7611:
7610:
7606:
7597:
7595:
7586:
7585:
7581:
7571:
7569:
7564:. Tech Report.
7559:
7558:
7554:
7544:
7542:
7537:. Channel Pro.
7532:
7531:
7527:
7514:
7512:
7503:
7502:
7498:
7481:
7471:
7470:
7466:
7457:
7455:
7442:
7441:
7437:
7427:
7425:
7420:. Times Union.
7415:
7414:
7410:
7401:
7399:
7386:
7385:
7381:
7372:
7370:
7361:
7360:
7356:
7346:
7344:
7330:
7329:
7325:
7316:
7314:
7301:
7300:
7296:
7287:
7285:
7276:
7275:
7271:
7261:
7259:
7246:
7245:
7241:
7231:
7229:
7219:
7218:
7214:
7204:
7202:
7197:. VentureBeat.
7192:
7191:
7187:
7177:
7175:
7165:
7164:
7160:
7151:
7149:
7135:
7134:
7130:
7121:
7119:
7110:
7109:
7105:
7090:
7089:
7085:
7080:
7076:
7066:
7064:
7054:
7053:
7046:
7037:
7035:
7026:
7025:
7021:
7008:
7007:
7003:
6993:
6991:
6982:
6981:
6974:
6965:
6963:
6949:
6948:
6944:
6931:
6929:
6922:hothardware.com
6915:
6914:
6910:
6900:
6899:
6895:
6885:
6883:
6869:
6868:
6864:
6855:
6853:
6840:
6839:
6835:
6826:
6824:
6811:
6810:
6806:
6796:
6794:
6785:
6784:
6775:
6766:
6764:
6760:
6753:
6748:
6747:
6743:
6734:
6732:
6718:
6717:
6713:
6704:
6702:
6698:
6691:
6686:
6685:
6681:
6671:
6669:
6655:
6654:
6650:
6640:
6638:
6624:
6623:
6619:
6610:
6608:
6598:
6597:
6593:
6585:
6578:
6573:
6572:
6568:
6559:
6557:
6547:
6546:
6542:
6533:
6531:
6522:
6521:
6517:
6511:Wayback Machine
6502:
6498:
6488:
6486:
6472:
6471:
6467:
6458:
6456:
6447:
6446:
6442:
6433:
6431:
6422:
6421:
6417:
6408:
6406:
6390:
6389:
6385:
6376:
6374:
6365:
6364:
6360:
6351:
6349:
6340:
6339:
6335:
6325:Wayback Machine
6316:
6312:
6302:
6300:
6290:
6289:
6285:
6276:
6274:
6261:
6260:
6256:
6247:
6245:
6232:
6231:
6227:
6217:
6215:
6201:
6200:
6196:
6187:
6185:
6172:
6171:
6167:
6157:
6155:
6141:
6140:
6136:
6126:
6124:
6119:. Tech Report.
6114:
6113:
6102:
6092:
6090:
6076:
6075:
6068:
6058:
6056:
6046:
6045:
6041:
6031:
6029:
6024:. Tech Report.
6019:
6018:
6014:
6005:
6003:
5990:
5989:
5985:
5975:
5973:
5964:
5963:
5959:
5949:
5947:
5937:
5936:
5923:
5913:
5911:
5902:
5901:
5897:
5888:
5886:
5873:
5872:
5863:
5854:
5852:
5843:
5842:
5838:
5834:
5792:
5787:
5786:
5782:Ă— cores per CCX
5778:
5774:
5769:
5680:
5643:
5565:
5511:
5506:
5491:
5470:
5452:GlobalFoundries
5406:
5401:
5400:
5395:
5391:
5387:Ă— cores per CCX
5383:
5379:
5370:
5366:
5361:
5322:
5292:
5228:155/170 W
5154:
5148:155/170 W
5116:
5024:
4986:
4983:155/170 W
4957:
4917:All–core
4911:
4893:
4883:
4868:
4847:
4829:GlobalFoundries
4771:
4765:
4760:
4759:
4747:
4743:
4726:Unified Shaders
4724:
4720:
4715:
4664:
4654:April 16, 2019
4641:
4632:
4609:
4602:
4591:
4578:
4571:
4569:
4555:
4507:
4505:
4500:
4470:
4455:
4438:
4426:
4421:
4420:
4408:
4404:
4387:Unified Shaders
4385:
4381:
4376:
4340:
4301:
4294:
4273:
4221:
4189:
4180:
4169:
4156:
4149:
4145:
4143:
4136:
4120:
4072:
4070:
4065:
4035:
4026:
4023:
4021:
4009:
3992:
3980:
3975:
3970:
3969:
3957:
3953:
3935:Unified shaders
3933:
3929:
3924:
3888:
3867:
3850:
3845:
3843:
3833:
3826:
3825:32 KB data
3824:
3806:
3764:
3762:
3757:
3727:
3709:
3703:
3680:
3671:
3666:
3665:
3653:
3649:
3631:Unified shaders
3629:
3625:
3620:
3584:
3555:
3538:
3521:
3472:
3457:
3440:
3423:
3398:
3365:
3348:
3343:
3341:
3331:
3324:
3323:32 KB data
3322:
3304:
3262:
3260:
3255:
3225:
3207:
3201:
3178:
3169:
3164:
3159:
3158:
3146:
3142:
3124:Unified shaders
3122:
3118:
3113:
3078:
3070:
3031:
3020:
2991:
2960:
2920:
2826:
2809:
2796:
2789:
2788:32 KB data
2787:
2771:
2723:
2721:
2712:
2682:
2667:
2661:
2638:
2629:
2624:
2623:
2611:
2607:
2590:Unified Shaders
2588:
2584:
2579:
2546:
2536:
2520:
2510:
2491:
2474:
2470:Ryzen 5 2400GE
2461:
2451:
2435:
2425:
2412:
2395:
2391:Ryzen 3 2200GE
2383:
2358:
2342:
2326:
2313:
2297:
2284:
2269:
2252:
2239:
2232:
2230:
2211:
2204:
2163:
2161:
2156:
2126:
2117:
2109:
2107:
2076:
2056:
2035:
2034:
2029:
2025:
2021:Ă— cores per CCX
2017:
2013:
2008:
2007:
1999:
1995:
1990:
1957:August 31, 2017
1956:
1946:
1906:August 10, 2017
1905:
1883:
1867:
1858:
1849:
1845:
1833:
1828:
1823:
1808:
1787:
1769:GlobalFoundries
1724:
1722:
1721:
1717:Ă— cores per CCX
1713:
1709:
1704:
1703:
1695:
1691:
1686:
1652:
1638:
1619:
1604:
1597:
1572:
1562:
1543:
1523:
1501:
1486:
1476:
1457:
1444:
1419:
1404:
1394:
1375:
1360:
1353:
1334:
1318:
1295:
1286:
1282:
1270:
1265:
1260:
1250:
1229:
1211:GlobalFoundries
1165:
1159:
1111:
1101:
1092:
1086:
1083:
1062:
1057:
1030:AMD's Crossfire
999:
972:
956:
933:
887:
878:
874:
843:GlobalFoundries
835:
830:
793:
752:
749:GlobalFoundries
737:
734:
718:Smart Prefetch.
704:
700:
615:using a hashed
557:Infinity Fabric
466:KB of L2 cache.
463:
456:
317:
286:
255:
229:
226:
198:
95:
91:GlobalFoundries
65:
63:
60:
46:
28:
23:
22:
15:
12:
11:
5:
10756:
10754:
10746:
10745:
10740:
10735:
10725:
10724:
10718:
10717:
10715:
10714:
10708:
10702:
10699:Strike-through
10693:
10692:
10690:
10683:
10677:
10676:
10674:
10667:
10657:
10656:
10654:
10649:
10639:
10638:
10631:
10626:
10620:
10619:
10612:
10609:
10603:
10602:
10599:
10593:
10592:
10585:
10580:
10574:
10573:
10571:
10566:
10561:
10558:
10557:
10551:
10546:
10539:
10534:
10527:
10519:
10518:
10511:
10506:
10500:
10499:
10496:
10491:
10486:
10481:
10475:
10474:
10472:
10466:
10465:
10462:
10457:
10449:
10448:
10442:
10438:
10437:
10435:
10432:
10425:
10420:
10417:
10416:
10414:
10409:
10404:
10398:
10397:
10394:
10385:
10380:
10374:
10373:
10366:
10361:
10354:
10348:
10347:
10342:
10340:
10335:
10330:
10324:
10323:
10321:
10316:
10314:
10308:
10307:
10300:
10298:
10293:
10291:
10286:
10280:
10279:
10274:
10272:
10265:
10263:
10258:
10253:
10248:
10240:
10239:
10233:
10232:
10226:
10225:
10220:
10212:
10211:
10209:Barton/Thorton
10205:
10204:
10199:
10193:
10192:
10186:
10185:
10179:
10178:
10176:Athlon Classic
10173:
10166:
10161:
10158:
10157:
10154:
10149:
10146:
10140:
10139:
10137:
10132:
10130:
10125:
10123:
10114:
10111:
10110:
10100:
10098:
10097:
10090:
10083:
10075:
10066:
10065:
10062:
10061:
10054:
10053:
10050:
10049:
10046:
10045:
10043:
10042:
10041:
10040:
10030:
10025:
10024:
10023:
10013:
10007:
10005:
10001:
10000:
9998:
9997:
9992:
9987:
9982:
9981:
9980:
9970:
9965:
9960:
9959:
9958:
9953:
9942:
9940:
9929:
9928:
9926:
9925:
9920:
9915:
9910:
9909:
9908:
9903:
9893:
9888:
9883:
9878:
9873:
9868:
9863:
9857:
9855:
9844:
9843:
9841:
9840:
9834:
9832:
9821:
9817:
9816:
9813:
9812:
9810:
9809:
9804:
9799:
9794:
9788:
9786:
9772:
9768:
9767:
9764:
9763:
9761:
9760:
9754:
9752:
9746:
9745:
9743:
9742:
9741:
9740:
9735:
9727:
9721:
9719:
9715:
9714:
9712:
9711:
9710:
9709:
9702:
9697:
9692:
9687:
9682:
9677:
9672:
9662:
9661:
9660:
9655:
9650:
9645:
9637:
9632:
9627:
9621:
9619:
9618:x86-64 desktop
9615:
9614:
9612:
9611:
9606:
9601:
9595:
9593:
9579:
9573:
9572:
9570:
9569:
9564:
9559:
9554:
9553:
9552:
9547:
9542:
9537:
9532:
9527:
9522:
9517:
9512:
9511:
9510:
9505:
9500:
9495:
9485:
9480:
9469:
9467:
9463:
9462:
9459:AMD processors
9457:
9455:
9454:
9447:
9440:
9432:
9426:
9425:
9417:
9416:External links
9414:
9412:
9411:
9393:
9349:
9337:Tom's Hardware
9323:
9297:
9279:
9257:
9239:
9213:
9195:
9162:
9113:
9102:. January 2019
9057:
9043:. 2017-06-20.
9028:
9001:
8974:
8947:
8935:Tom's Hardware
8921:
8896:
8872:
8848:. Ars Technica
8836:
8815:
8795:
8771:
8747:
8729:
8715:
8701:
8687:
8673:
8659:
8645:
8631:
8617:
8603:
8589:
8558:
8546:www.guru3d.com
8529:
8496:
8465:
8436:
8407:
8378:
8366:Tom's Hardware
8353:
8341:Tom's Hardware
8328:
8301:
8270:
8241:
8212:
8182:
8155:
8128:
8105:
8078:
8055:
8024:
7999:
7968:
7943:
7916:
7888:
7861:
7832:
7799:
7781:Cutress, Ian.
7773:
7758:. TechReport.
7741:
7714:
7687:
7672:. 7 May 2015.
7661:
7634:
7604:
7579:
7552:
7525:
7507:. 2016-03-02.
7496:
7464:
7435:
7408:
7379:
7365:. 2019-12-22.
7354:
7323:
7294:
7269:
7239:
7212:
7185:
7158:
7128:
7114:. Anand tech.
7103:
7083:
7074:
7044:
7019:
7016:on 2015-11-17.
7001:
6972:
6942:
6908:
6893:
6862:
6833:
6804:
6773:
6741:
6711:
6679:
6662:PC Perspective
6648:
6617:
6599:Cutress, Ian.
6591:
6566:
6540:
6515:
6496:
6465:
6440:
6415:
6383:
6358:
6333:
6310:
6283:
6254:
6225:
6194:
6165:
6142:Cutress, Ian.
6134:
6100:
6066:
6039:
6012:
5983:
5957:
5921:
5895:
5861:
5835:
5833:
5830:
5829:
5828:
5823:
5818:
5813:
5808:
5803:
5798:
5791:
5788:
5785:
5784:
5771:
5770:
5768:
5767:
5760:
5753:
5745:
5742:
5741:
5738:
5735:
5732:
5729:
5723:
5722:
5719:
5716:
5713:
5710:
5707:
5703:
5702:
5699:
5696:
5693:
5690:
5684:
5683:
5678:
5675:
5669:
5666:
5663:
5660:
5657:
5654:
5651:
5647:
5646:
5641:
5638:
5632:
5631:
5628:
5625:
5622:
5616:
5615:
5612:
5609:
5606:
5603:
5600:
5597:
5591:
5590:
5587:
5584:
5581:
5578:
5575:
5569:
5568:
5563:
5560:
5554:
5551:
5548:
5545:
5542:
5539:
5536:
5530:
5529:
5526:
5522:
5521:
5518:
5514:
5513:
5508:
5503:
5498:
5493:
5486:
5476:
5465:
5459:
5458:
5448:
5441:
5438:
5431:
5417:
5405:
5402:
5399:
5398:
5389:
5377:
5363:
5362:
5360:
5359:
5352:
5345:
5337:
5334:
5333:
5328:
5325:
5320:
5317:
5314:
5311:
5305:
5304:
5301:
5295:
5290:
5287:
5284:
5281:
5277:
5276:
5271:
5268:
5262:
5261:
5256:
5253:
5250:
5247:
5241:
5240:
5235:
5232:
5229:
5226:
5223:
5220:
5217:
5214:
5208:
5207:
5202:
5199:
5196:
5193:
5190:
5187:
5181:
5180:
5175:
5172:
5166:
5165:
5160:
5157:
5152:
5149:
5146:
5143:
5140:
5137:
5134:
5128:
5127:
5122:
5119:
5114:
5111:
5108:
5105:
5102:
5096:
5095:
5090:
5087:
5081:
5080:
5075:
5072:
5069:
5066:
5063:
5057:
5056:
5051:
5048:
5045:
5042:
5036:
5035:
5030:
5027:
5022:
5019:
5016:
5013:
5010:
5007:
5004:
4998:
4997:
4992:
4989:
4984:
4981:
4978:
4975:
4969:
4968:
4963:
4960:
4955:
4952:
4946:
4943:
4940:
4937:
4934:
4931:
4928:
4922:
4921:
4918:
4914:
4913:
4906:
4903:
4900:
4896:
4895:
4888:
4885:
4880:
4875:
4870:
4863:
4853:
4842:
4836:
4835:
4825:
4818:
4815:
4808:
4794:
4767:Main article:
4764:
4761:
4758:
4757:
4741:
4717:
4716:
4714:
4713:
4706:
4699:
4691:
4688:
4687:
4684:
4681:
4678:
4675:
4669:
4668:
4661:
4658:
4655:
4652:
4646:
4645:
4638:
4629:
4626:
4623:
4620:
4614:
4613:
4606:
4604:single-channel
4599:
4596:
4593:
4588:
4585:
4582:
4575:
4566:
4563:
4560:
4557:
4550:
4547:
4541:
4540:
4535:
4530:
4525:
4520:
4514:
4513:
4502:
4497:
4494:
4491:
4486:
4476:
4464:
4463:
4458:
4451:
4448:
4445:
4440:
4435:
4425:
4422:
4419:
4418:
4402:
4378:
4377:
4375:
4374:
4367:
4360:
4352:
4349:
4348:
4345:
4342:
4337:
4334:
4331:
4330:February 2018
4328:
4322:
4321:
4318:
4315:
4314:December 2018
4312:
4306:
4305:
4298:
4291:
4288:
4282:
4281:
4278:
4275:
4270:
4267:
4264:
4261:
4260:February 2018
4258:
4252:
4251:
4248:
4245:
4242:
4239:
4233:
4232:
4229:
4226:
4223:
4218:
4215:
4212:
4209:
4206:
4205:December 2018
4203:
4197:
4196:
4193:
4186:
4177:
4174:
4171:
4166:
4163:
4160:
4153:
4133:
4130:
4127:
4124:
4115:
4114:February 2018
4112:
4106:
4105:
4100:
4095:
4090:
4085:
4079:
4078:
4067:
4062:
4059:
4056:
4051:
4041:
4029:
4028:
4017:
4012:
4005:
4002:
3999:
3994:
3989:
3979:
3976:
3974:
3971:
3968:
3967:
3951:
3926:
3925:
3923:
3922:
3915:
3908:
3900:
3897:
3896:
3891:
3886:
3880:
3879:
3874:
3871:
3869:single-channel
3864:
3861:
3858:
3855:
3852:
3847:
3840:
3837:
3830:
3821:
3818:
3815:
3812:
3809:
3804:
3798:
3797:
3792:
3787:
3782:
3777:
3771:
3770:
3759:
3754:
3751:
3748:
3743:
3733:
3721:
3720:
3717:
3712:
3705:
3698:
3693:
3690:
3687:
3682:
3677:
3670:
3667:
3664:
3663:
3647:
3622:
3621:
3619:
3618:
3611:
3604:
3596:
3593:
3592:
3587:
3582:
3576:
3575:
3570:
3567:
3564:
3561:
3558:
3553:
3547:
3546:
3541:
3536:
3530:
3529:
3524:
3519:
3513:
3512:
3507:
3504:
3501:
3498:
3495:
3489:
3488:
3483:
3480:
3477:
3474:
3469:
3466:
3463:
3460:
3455:
3449:
3448:
3443:
3438:
3432:
3431:
3426:
3421:
3415:
3414:
3409:
3406:
3403:
3400:
3395:
3392:
3389:
3386:
3380:
3379:
3374:
3371:
3362:
3359:
3356:
3353:
3350:
3345:
3338:
3335:
3328:
3319:
3316:
3313:
3310:
3307:
3302:
3296:
3295:
3290:
3285:
3280:
3275:
3269:
3268:
3257:
3252:
3249:
3246:
3241:
3231:
3219:
3218:
3215:
3210:
3203:
3196:
3191:
3188:
3185:
3180:
3175:
3168:
3165:
3163:
3160:
3157:
3156:
3140:
3115:
3114:
3112:
3111:
3104:
3097:
3089:
3086:
3085:
3082:
3075:
3072:
3067:
3064:
3061:
3058:
3052:
3051:
3048:
3045:
3039:
3038:
3035:
3028:
3025:
3022:
3017:
3014:
3011:
3008:
3005:
2999:
2998:
2995:
2988:
2985:
2982:
2976:
2975:
2972:
2966:
2965:
2962:
2957:
2954:
2951:
2948:
2945:
2939:
2938:
2935:
2929:
2928:
2925:
2922:
2917:
2914:
2911:
2908:
2905:
2902:
2896:
2895:
2892:
2889:
2886:
2883:
2880:
2874:
2873:
2870:
2867:
2864:
2861:
2858:
2852:
2851:
2848:
2845:
2842:
2836:
2835:
2832:
2823:
2820:
2817:
2814:
2811:
2806:
2803:
2800:
2793:
2784:
2781:
2778:
2775:
2766:
2763:
2757:
2756:
2751:
2746:
2741:
2736:
2730:
2729:
2718:
2709:
2706:
2703:
2698:
2688:
2676:
2675:
2670:
2663:
2656:
2651:
2648:
2645:
2640:
2635:
2628:
2625:
2622:
2621:
2605:
2581:
2580:
2578:
2577:
2570:
2563:
2555:
2552:
2551:
2548:
2543:
2539:
2538:
2533:
2530:
2527:
2525:Wraith Stealth
2522:
2517:
2516:Ryzen 5 2400G
2513:
2512:
2507:
2503:
2502:
2499:
2496:
2493:
2488:
2485:
2482:
2479:
2476:
2473:April 19, 2018
2471:
2467:
2466:
2463:
2458:
2454:
2453:
2448:
2445:
2442:
2440:Wraith Stealth
2437:
2432:
2431:Ryzen 3 2200G
2428:
2427:
2422:
2418:
2417:
2414:
2409:
2406:
2403:
2400:
2397:
2394:April 19, 2018
2392:
2388:
2387:
2380:
2377:
2374:
2371:
2365:
2361:
2360:
2355:
2351:
2350:
2347:
2344:
2339:
2335:
2334:
2331:
2328:
2323:
2319:
2318:
2315:
2310:
2306:
2305:
2302:
2299:
2294:
2290:
2289:
2286:
2281:
2277:
2276:
2273:
2266:
2263:
2260:
2257:
2254:
2249:
2246:
2243:
2236:
2227:
2224:
2221:
2218:
2215:
2206:
2201:
2197:
2196:
2191:
2186:
2181:
2176:
2170:
2169:
2158:
2153:
2150:
2147:
2142:
2132:
2120:
2119:
2112:
2103:
2097:
2092:
2089:
2086:
2083:
2078:
2073:
2055:
2052:
2033:
2032:
2023:
2010:
2009:
2006:
2005:
1992:
1991:
1989:
1988:
1981:
1974:
1966:
1963:
1962:
1959:
1954:
1951:
1948:
1943:
1940:
1937:
1931:
1930:
1927:
1924:
1921:
1918:
1912:
1911:
1908:
1903:
1900:
1894:
1891:
1888:
1885:
1880:
1877:
1874:
1869:
1863:
1862:
1853:
1840:
1836:
1835:
1830:
1825:
1820:
1815:
1810:
1803:
1793:
1782:
1776:
1775:
1765:
1762:
1755:
1752:
1745:
1734:
1720:
1719:
1706:
1705:
1702:
1701:
1688:
1687:
1685:
1684:
1677:
1670:
1662:
1659:
1658:
1655:
1650:
1644:
1643:
1640:
1635:
1632:
1626:
1625:
1622:
1617:
1611:
1610:
1607:
1602:
1599:
1594:
1591:
1588:
1583:
1579:
1578:
1575:
1573:April 11, 2017
1570:
1567:
1564:
1559:
1556:
1550:
1549:
1546:
1541:
1535:
1534:
1531:
1528:
1525:
1520:
1517:
1514:
1508:
1507:
1504:
1502:April 11, 2017
1499:
1493:
1492:
1489:
1484:
1481:
1478:
1473:
1470:
1464:
1463:
1460:
1458:April 11, 2017
1455:
1452:
1449:
1446:
1441:
1438:
1435:
1430:
1426:
1425:
1422:
1417:
1411:
1410:
1407:
1402:
1399:
1396:
1391:
1388:
1382:
1381:
1378:
1373:
1367:
1366:
1363:
1358:
1355:
1350:
1347:
1341:
1340:
1337:
1332:
1329:
1326:
1323:
1320:
1315:
1312:
1309:
1304:
1300:
1299:
1290:
1277:
1273:
1272:
1267:
1262:
1257:
1252:
1245:
1235:
1224:
1218:
1217:
1207:
1204:
1197:
1194:
1187:
1176:
1161:Main article:
1158:
1155:
1110:
1107:
1100:
1097:
1094:
1093:
1074:
1072:
1061:
1058:
1056:
1053:
1049:Instinct cards
998:
995:
971:
968:
962:Zen also uses
955:
952:
932:
929:
912:rendering and
886:
883:
834:
831:
829:
826:
792:
789:
784:floating point
732:
726:
725:
722:
719:
716:
715:
714:
708:
689:
682:
681:
680:
674:
668:
661:
641:
638:
627:
624:
621:neural network
609:
606:
600:
597:
594:floating point
586:
579:
560:
549:
527:
455:
452:
354:
353:
349:
348:
347:Support status
344:
343:
338:
334:
333:
328:
324:
323:
319:
318:
316:
315:
310:
305:
300:
294:
292:
288:
287:
285:
284:
281:
278:
275:
272:
268:
266:
262:
261:
257:
256:
254:
253:
248:
243:
237:
235:
231:
230:
228:
227:
225:
224:
221:
218:
215:
211:
208:
206:
200:
199:
197:
196:
192:
190:
184:
183:
179:
178:
172:
166:
165:
155:
149:
148:
144:
143:
138:8 MB per
136:
132:
131:
128:
124:
123:
120:
113:
112:
108:
107:
104:
97:
96:
94:
93:
87:
85:
81:
80:
75:
71:
70:
57:
53:
52:
48:
47:
41:
26:
24:
14:
13:
10:
9:
6:
4:
3:
2:
10755:
10744:
10741:
10739:
10736:
10734:
10731:
10730:
10728:
10712:
10709:
10706:
10703:
10700:
10697:
10696:
10689:
10688:
10684:
10682:
10678:
10673:
10672:
10668:
10666:
10662:
10658:
10653:
10650:
10648:
10644:
10640:
10636:
10630:
10627:
10625:
10621:
10617:
10614:Mobile-only,
10610:
10608:
10604:
10598:
10595:
10594:
10590:
10584:
10581:
10579:
10575:
10570:
10567:
10565:
10559:
10555:
10550:
10547:
10545:
10544:
10538:
10533:
10532:
10528:
10526:
10525:
10520:
10516:
10510:
10507:
10505:
10502:
10501:
10495:
10492:
10490:
10485:
10482:
10480:
10476:
10471:
10468:
10467:
10461:
10458:
10456:
10455:
10454:Bulldozer 15h
10450:
10446:
10440:
10439:
10433:
10431:
10430:
10424:
10418:
10413:
10408:
10405:
10403:
10399:
10393:
10389:
10386:
10384:
10379:
10375:
10371:
10365:
10362:
10360:
10359:
10353:
10349:
10346:
10343:
10341:
10339:
10336:
10334:
10329:
10325:
10320:
10317:
10315:
10313:
10309:
10306:
10305:
10301:
10299:
10297:
10294:
10292:
10290:
10285:
10281:
10278:
10275:
10273:
10270:
10266:
10264:
10262:
10259:
10257:
10252:
10247:
10246:
10241:
10238:
10235:
10234:
10231:
10228:
10227:
10224:
10221:
10219:
10218:
10213:
10210:
10207:
10206:
10203:
10200:
10198:
10194:
10191:
10188:
10187:
10184:
10181:
10180:
10177:
10174:
10172:
10171:
10165:
10159:
10155:
10153:
10150:
10147:
10145:
10142:
10141:
10136:
10121:
10117:
10112:
10108:
10104:
10096:
10091:
10089:
10084:
10082:
10077:
10076:
10073:
10059:
10056:
10055:
10051:
10039:
10036:
10035:
10034:
10031:
10029:
10026:
10022:
10019:
10018:
10017:
10014:
10012:
10009:
10008:
10006:
10002:
9996:
9995:A-series APUs
9993:
9991:
9988:
9986:
9983:
9979:
9976:
9975:
9974:
9971:
9969:
9966:
9964:
9961:
9957:
9954:
9952:
9949:
9948:
9947:
9944:
9943:
9941:
9938:
9934:
9930:
9924:
9921:
9919:
9916:
9914:
9911:
9907:
9904:
9902:
9899:
9898:
9897:
9894:
9892:
9889:
9887:
9884:
9882:
9879:
9877:
9874:
9872:
9869:
9867:
9864:
9862:
9859:
9858:
9856:
9853:
9849:
9845:
9839:
9836:
9835:
9833:
9830:
9825:
9822:
9818:
9808:
9805:
9803:
9800:
9798:
9795:
9793:
9790:
9789:
9787:
9784:
9780:
9776:
9773:
9769:
9759:
9758:K12 (aka 12h)
9756:
9755:
9753:
9751:
9747:
9739:
9736:
9734:
9731:
9730:
9728:
9726:
9723:
9722:
9720:
9716:
9708:
9707:
9703:
9701:
9698:
9696:
9693:
9691:
9688:
9686:
9683:
9681:
9678:
9676:
9673:
9671:
9668:
9667:
9666:
9663:
9659:
9656:
9654:
9651:
9649:
9646:
9644:
9641:
9640:
9638:
9636:
9635:K10 (aka 10h)
9633:
9631:
9628:
9626:
9623:
9622:
9620:
9616:
9610:
9607:
9605:
9602:
9600:
9597:
9596:
9594:
9591:
9587:
9583:
9580:
9578:
9574:
9568:
9565:
9563:
9560:
9558:
9555:
9551:
9548:
9546:
9543:
9541:
9538:
9536:
9533:
9531:
9528:
9526:
9523:
9521:
9518:
9516:
9513:
9509:
9506:
9504:
9501:
9499:
9496:
9494:
9491:
9490:
9489:
9486:
9484:
9481:
9479:
9476:
9475:
9474:
9471:
9470:
9468:
9464:
9460:
9453:
9448:
9446:
9441:
9439:
9434:
9433:
9430:
9423:
9420:
9419:
9415:
9407:
9403:
9397:
9394:
9381:
9374:
9368:
9366:
9364:
9362:
9360:
9358:
9356:
9354:
9350:
9338:
9334:
9327:
9324:
9312:
9308:
9301:
9298:
9293:
9289:
9283:
9280:
9267:
9261:
9258:
9253:
9249:
9243:
9240:
9228:
9224:
9217:
9214:
9209:
9205:
9199:
9196:
9184:
9180:
9173:
9171:
9169:
9167:
9163:
9151:
9147:
9140:
9138:
9136:
9134:
9132:
9130:
9128:
9126:
9124:
9122:
9120:
9118:
9114:
9101:
9094:
9088:
9086:
9084:
9082:
9080:
9078:
9076:
9074:
9072:
9070:
9068:
9066:
9064:
9062:
9058:
9046:
9042:
9038:
9032:
9029:
9016:
9012:
9005:
9002:
8989:
8986:. Anandtech.
8985:
8978:
8975:
8962:
8958:
8951:
8948:
8936:
8932:
8925:
8922:
8910:
8906:
8900:
8897:
8886:
8882:
8876:
8873:
8868:
8862:
8847:
8840:
8837:
8825:
8819:
8816:
8805:
8799:
8796:
8785:
8781:
8775:
8772:
8761:
8757:
8751:
8748:
8743:
8739:
8733:
8730:
8725:
8719:
8716:
8711:
8705:
8702:
8697:
8691:
8688:
8683:
8677:
8674:
8669:
8663:
8660:
8655:
8649:
8646:
8641:
8635:
8632:
8627:
8621:
8618:
8613:
8607:
8604:
8599:
8593:
8590:
8578:
8574:
8567:
8565:
8563:
8559:
8547:
8543:
8536:
8534:
8530:
8518:
8514:
8507:
8505:
8503:
8501:
8497:
8485:
8481:
8474:
8472:
8470:
8466:
8454:
8450:
8443:
8441:
8437:
8426:on 2017-07-03
8425:
8421:
8420:www.pcper.com
8417:
8411:
8408:
8396:
8392:
8388:
8382:
8379:
8367:
8363:
8357:
8354:
8342:
8338:
8332:
8329:
8316:
8312:
8305:
8302:
8289:
8286:(in German).
8285:
8281:
8274:
8271:
8259:
8255:
8251:
8245:
8242:
8230:
8226:
8222:
8216:
8213:
8201:
8197:
8193:
8186:
8183:
8170:
8166:
8159:
8156:
8143:
8140:. Anandtech.
8139:
8132:
8129:
8116:
8109:
8106:
8093:
8089:
8082:
8079:
8066:
8059:
8056:
8041:
8034:
8028:
8025:
8013:
8009:
8003:
8000:
7985:
7978:
7972:
7969:
7957:
7953:
7947:
7944:
7931:
7927:
7920:
7917:
7905:
7901:
7895:
7893:
7889:
7876:
7872:
7865:
7862:
7850:
7846:
7842:
7836:
7833:
7820:
7816:
7815:
7810:
7803:
7800:
7788:
7784:
7777:
7774:
7761:
7757:
7750:
7748:
7746:
7742:
7729:
7725:
7718:
7715:
7702:
7699:. Anandtech.
7698:
7691:
7688:
7675:
7671:
7665:
7662:
7649:
7645:
7638:
7635:
7622:
7618:
7614:
7608:
7605:
7593:
7589:
7583:
7580:
7567:
7563:
7556:
7553:
7540:
7536:
7529:
7526:
7522:
7510:
7506:
7500:
7497:
7492:
7486:
7478:
7474:
7468:
7465:
7453:
7449:
7445:
7439:
7436:
7423:
7419:
7412:
7409:
7397:
7393:
7389:
7383:
7380:
7368:
7364:
7358:
7355:
7342:
7338:
7334:
7327:
7324:
7312:
7308:
7307:Tech power up
7304:
7298:
7295:
7283:
7279:
7273:
7270:
7257:
7253:
7249:
7243:
7240:
7227:
7223:
7216:
7213:
7200:
7196:
7189:
7186:
7173:
7169:
7162:
7159:
7147:
7143:
7139:
7132:
7129:
7117:
7113:
7107:
7104:
7100:. 7 May 2014.
7099:
7095:
7094:
7087:
7084:
7078:
7075:
7062:
7059:. Anandtech.
7058:
7051:
7049:
7045:
7033:
7029:
7023:
7020:
7015:
7011:
7005:
7002:
6989:
6985:
6979:
6977:
6973:
6961:
6957:
6956:WikiChip Fuse
6953:
6946:
6943:
6939:
6927:
6923:
6919:
6912:
6909:
6904:
6897:
6894:
6881:
6877:
6873:
6866:
6863:
6851:
6847:
6843:
6837:
6834:
6822:
6818:
6814:
6808:
6805:
6792:
6788:
6782:
6780:
6778:
6774:
6759:
6752:
6745:
6742:
6730:
6726:
6722:
6715:
6712:
6697:
6690:
6683:
6680:
6667:
6663:
6659:
6652:
6649:
6636:
6632:
6628:
6621:
6618:
6606:
6602:
6595:
6592:
6584:
6577:
6574:Clark, Mike.
6570:
6567:
6555:
6551:
6544:
6541:
6530:on 2016-03-04
6529:
6525:
6519:
6516:
6512:
6508:
6505:
6500:
6497:
6484:
6480:
6476:
6469:
6466:
6454:
6450:
6444:
6441:
6429:
6425:
6419:
6416:
6404:
6400:
6399:
6394:
6387:
6384:
6372:
6368:
6362:
6359:
6347:
6343:
6337:
6334:
6330:
6326:
6322:
6319:
6314:
6311:
6298:
6295:. Anandtech.
6294:
6287:
6284:
6272:
6268:
6264:
6258:
6255:
6243:
6239:
6235:
6229:
6226:
6213:
6209:
6205:
6198:
6195:
6183:
6179:
6175:
6169:
6166:
6153:
6149:
6145:
6138:
6135:
6122:
6118:
6111:
6109:
6107:
6105:
6101:
6088:
6084:
6080:
6073:
6071:
6067:
6054:
6050:
6043:
6040:
6027:
6023:
6016:
6013:
6001:
5997:
5993:
5987:
5984:
5971:
5967:
5961:
5958:
5945:
5941:
5934:
5932:
5930:
5928:
5926:
5922:
5910:
5906:
5899:
5896:
5884:
5880:
5876:
5870:
5868:
5866:
5862:
5850:
5846:
5840:
5837:
5831:
5827:
5824:
5822:
5819:
5817:
5814:
5812:
5809:
5807:
5804:
5802:
5799:
5797:
5794:
5793:
5789:
5781:
5776:
5773:
5766:
5761:
5759:
5754:
5752:
5747:
5739:
5736:
5733:
5730:
5728:
5725:
5724:
5717:
5714:
5711:
5708:
5705:
5704:
5700:
5697:
5694:
5691:
5689:
5686:
5685:
5667:
5658:
5655:
5652:
5649:
5648:
5642:
5639:
5637:
5634:
5633:
5629:
5621:
5618:
5617:
5610:
5601:
5598:
5596:
5593:
5592:
5588:
5585:
5579:
5576:
5574:
5571:
5570:
5561:
5552:
5549:
5540:
5537:
5535:
5532:
5531:
5527:
5524:
5523:
5515:
5502:
5497:
5490:
5489:L3 cache
5484:
5480:
5474:
5469:
5462:
5456:
5453:
5449:
5446:
5442:
5439:
5436:
5432:
5429:
5425:
5422:
5418:
5415:
5411:
5410:
5409:
5403:
5393:
5390:
5386:
5381:
5378:
5374:
5373:uniprocessors
5368:
5365:
5358:
5353:
5351:
5346:
5344:
5339:
5332:
5329:
5326:
5321:
5318:
5315:
5312:
5310:
5307:
5306:
5302:
5300:
5296:
5291:
5288:
5285:
5279:
5278:
5275:
5272:
5269:
5267:
5264:
5263:
5260:
5257:
5254:
5246:
5243:
5242:
5239:
5236:
5233:
5227:
5221:
5213:
5210:
5209:
5206:
5203:
5200:
5197:
5194:
5191:
5188:
5186:
5183:
5182:
5179:
5176:
5173:
5171:
5168:
5167:
5164:
5161:
5158:
5133:
5130:
5129:
5126:
5123:
5120:
5115:
5112:
5109:
5106:
5103:
5101:
5098:
5097:
5094:
5091:
5088:
5086:
5083:
5082:
5079:
5076:
5073:
5062:
5059:
5058:
5055:
5052:
5049:
5043:
5041:
5038:
5037:
5034:
5031:
5028:
5017:
5008:
5003:
5000:
4999:
4996:
4993:
4990:
4985:
4979:
4976:
4974:
4971:
4970:
4967:
4964:
4961:
4956:
4944:
4941:
4932:
4927:
4924:
4923:
4919:
4916:
4915:
4897:
4892:
4879:
4874:
4867:
4866:L3 cache
4861:
4857:
4851:
4846:
4839:
4833:
4830:
4826:
4823:
4819:
4816:
4813:
4809:
4806:
4802:
4799:
4795:
4792:
4788:
4787:
4786:
4783:
4775:
4770:
4762:
4754:
4750:
4745:
4742:
4739:
4735:
4731:
4727:
4722:
4719:
4712:
4707:
4705:
4700:
4698:
4693:
4692:
4685:
4682:
4679:
4676:
4674:
4671:
4670:
4659:
4656:
4651:
4648:
4647:
4639:
4636:
4627:
4624:
4619:
4616:
4615:
4607:
4600:
4564:
4561:
4558:
4554:
4546:
4543:
4542:
4539:
4536:
4534:
4531:
4529:
4526:
4521:
4516:
4515:
4511:
4490:
4484:
4480:
4474:
4469:
4465:
4462:
4457:
4444:
4432:
4429:
4423:
4415:
4411:
4406:
4403:
4400:
4396:
4392:
4388:
4383:
4380:
4373:
4368:
4366:
4361:
4359:
4354:
4353:
4346:
4343:
4338:
4335:
4332:
4329:
4327:
4324:
4323:
4313:
4311:
4308:
4307:
4289:
4287:
4284:
4283:
4262:
4257:
4254:
4253:
4243:
4240:
4238:
4235:
4234:
4230:
4216:
4213:
4210:
4202:
4199:
4198:
4194:
4184:
4175:
4172:
4167:
4164:
4131:
4128:
4125:
4123:
4119:
4113:
4111:
4108:
4107:
4104:
4101:
4099:
4096:
4094:
4091:
4086:
4081:
4080:
4076:
4055:
4049:
4045:
4039:
4034:
4030:
4025:
4016:
4011:
3998:
3986:
3983:
3977:
3972:
3964:
3960:
3955:
3952:
3948:
3947:Compute units
3944:
3940:
3936:
3931:
3928:
3921:
3916:
3914:
3909:
3907:
3902:
3901:
3894:AM301CBRP2OFJ
3892:
3887:
3885:
3882:
3881:
3877:AM3015BRP2OFJ
3875:
3805:
3803:
3800:
3799:
3796:
3793:
3791:
3788:
3786:
3783:
3778:
3773:
3772:
3768:
3747:
3741:
3737:
3731:
3726:
3722:
3716:
3711:
3702:
3697:
3686:
3674:
3668:
3660:
3656:
3651:
3648:
3644:
3643:Compute units
3640:
3636:
3632:
3627:
3624:
3617:
3612:
3610:
3605:
3603:
3598:
3597:
3590:YM325CC4T2OFG
3588:
3583:
3581:
3580:Ryzen 3 3250C
3578:
3577:
3573:YM3250C4T2OFG
3571:
3554:
3552:
3551:Ryzen 3 3250U
3549:
3548:
3544:YM315CC4T2OFG
3542:
3537:
3535:
3532:
3531:
3527:YM3150C4T2OFG
3525:
3520:
3518:
3515:
3514:
3510:YM3145C4T2OFG
3508:
3496:
3494:
3491:
3490:
3486:YM3050C7T2OFG
3484:
3481:
3467:
3464:
3456:
3454:
3451:
3450:
3446:YM305CC4T2OFG
3444:
3439:
3437:
3434:
3433:
3429:YM3050C4T2OFG
3427:
3422:
3420:
3417:
3416:
3412:YM3045C4T2OFG
3410:
3387:
3385:
3382:
3381:
3377:YM3020C7T2OFG
3375:
3372:
3369:
3354:
3351:
3346:
3317:
3314:
3303:
3301:
3298:
3297:
3294:
3291:
3289:
3286:
3284:
3281:
3276:
3271:
3270:
3266:
3245:
3239:
3235:
3229:
3224:
3220:
3214:
3209:
3200:
3195:
3184:
3172:
3166:
3161:
3153:
3149:
3144:
3141:
3137:
3136:Compute units
3133:
3129:
3125:
3120:
3117:
3110:
3105:
3103:
3098:
3096:
3091:
3090:
3083:
3076:
3073:
3068:
3065:
3062:
3059:
3057:
3054:
3053:
3049:
3047:May 15, 2018
3046:
3044:
3041:
3040:
3015:
3006:
3004:
3001:
3000:
2996:
2989:
2986:
2983:
2981:
2978:
2977:
2973:
2971:
2968:
2967:
2946:
2944:
2941:
2940:
2936:
2934:
2931:
2930:
2903:
2901:
2898:
2897:
2893:
2890:
2887:
2884:
2881:
2879:
2876:
2875:
2871:
2868:
2865:
2862:
2859:
2857:
2854:
2853:
2849:
2846:
2843:
2841:
2838:
2837:
2830:
2782:
2779:
2774:
2770:
2764:
2762:
2759:
2758:
2755:
2752:
2750:
2747:
2745:
2742:
2737:
2732:
2731:
2727:
2716:
2702:
2696:
2692:
2686:
2681:
2677:
2674:
2669:
2660:
2655:
2644:
2632:
2626:
2618:
2614:
2609:
2606:
2603:
2599:
2595:
2591:
2586:
2583:
2576:
2571:
2569:
2564:
2562:
2557:
2556:
2549:
2544:
2541:
2540:
2526:
2523:
2518:
2515:
2514:
2508:
2505:
2504:
2472:
2469:
2468:
2459:
2456:
2455:
2441:
2438:
2433:
2430:
2429:
2423:
2420:
2419:
2393:
2390:
2389:
2378:
2375:
2372:
2370:
2366:
2363:
2362:
2357:July 21, 2020
2356:
2353:
2352:
2340:
2338:Athlon 300GE
2337:
2336:
2324:
2322:Athlon 3000G
2321:
2320:
2311:
2309:Athlon 240GE
2308:
2307:
2303:
2295:
2293:Athlon 220GE
2292:
2291:
2287:
2282:
2279:
2278:
2216:
2214:
2210:
2202:
2200:Athlon 200GE
2199:
2198:
2195:
2192:
2190:
2187:
2185:
2182:
2177:
2172:
2171:
2167:
2146:
2140:
2136:
2130:
2125:
2121:
2116:
2111:
2101:
2096:
2082:
2070:
2063:
2059:
2053:
2047:
2039:
2027:
2024:
2020:
2015:
2012:
2002:
1997:
1994:
1987:
1982:
1980:
1975:
1973:
1968:
1967:
1960:
1955:
1952:
1949:
1944:
1941:
1938:
1936:
1933:
1932:
1928:
1925:
1922:
1919:
1917:
1914:
1913:
1909:
1901:
1878:
1875:
1873:
1870:
1868:Threadripper
1864:
1837:
1819:
1814:
1807:
1806:L3 cache
1801:
1797:
1791:
1786:
1779:
1773:
1770:
1766:
1763:
1760:
1756:
1753:
1750:
1746:
1743:
1739:
1735:
1732:
1728:
1727:
1726:
1716:
1711:
1708:
1698:
1693:
1690:
1683:
1678:
1676:
1671:
1669:
1664:
1663:
1656:
1653:July 27, 2017
1651:
1649:
1646:
1645:
1631:
1628:
1627:
1620:June 29, 2017
1616:
1613:
1612:
1608:
1605:July 27, 2017
1603:
1587:
1584:
1580:
1576:
1571:
1565:
1560:
1557:
1555:
1552:
1551:
1547:
1544:June 29, 2017
1542:
1540:
1537:
1536:
1532:
1513:
1510:
1509:
1505:
1498:
1495:
1494:
1490:
1487:June 29, 2017
1485:
1469:
1466:
1465:
1461:
1450:
1447:
1442:
1439:
1434:
1431:
1427:
1423:
1420:March 2, 2017
1418:
1416:
1413:
1412:
1408:
1405:June 29, 2017
1403:
1387:
1384:
1383:
1379:
1376:March 2, 2017
1374:
1372:
1369:
1368:
1364:
1361:June 29, 2017
1359:
1346:
1343:
1342:
1338:
1335:March 2, 2017
1333:
1321:
1316:
1313:
1308:
1305:
1301:
1274:
1256:
1249:
1243:
1239:
1233:
1228:
1221:
1215:
1212:
1208:
1205:
1202:
1198:
1195:
1192:
1188:
1185:
1181:
1177:
1174:
1170:
1169:
1168:
1164:
1156:
1154:
1150:
1148:
1142:
1140:
1135:
1132:
1126:
1124:
1120:
1116:
1108:
1106:
1105:
1098:
1090:
1081:
1077:
1073:
1070:
1066:
1065:
1059:
1054:
1052:
1050:
1046:
1042:
1041:deep learning
1037:
1035:
1031:
1027:
1023:
1020:
1016:
1012:
1008:
1004:
996:
994:
989:
984:
982:
978:
977:ARM Cortex-A5
969:
967:
965:
960:
953:
951:
949:
944:
942:
938:
935:Zen supports
930:
928:
926:
922:
919:Zen supports
917:
915:
911:
908:processor in
907:
902:
898:
896:
892:
884:
882:
872:
867:
864:
860:
856:
852:
848:
844:
840:
832:
827:
825:
822:
819:
814:
811:
808:
803:
800:
798:
790:
788:
785:
781:
776:
774:
770:
766:
762:
758:
750:
746:
743:
731:
723:
720:
717:
712:
711:
709:
707:kB page size.
698:
694:
690:
687:
683:
678:
675:
672:
669:
666:
662:
659:
655:
652:
651:
649:
646:with Intel's
645:
642:
639:
636:
635:stack pointer
632:
628:
625:
622:
618:
614:
610:
607:
604:
601:
598:
595:
591:
587:
584:
580:
577:
573:
569:
565:
561:
558:
554:
550:
547:
543:
539:
535:
531:
528:
525:
524:write-through
521:
520:
519:
516:
514:
511:According to
505:
497:
488:
480:
472:
460:
453:
451:
448:
445:
441:
436:
434:
430:
426:
422:
418:
414:
410:
406:
403:
399:
394:
392:
388:
384:
380:
376:
372:
368:
364:
360:
350:
345:
342:
339:
335:
332:
329:
325:
320:
314:
311:
309:
306:
304:
301:
299:
296:
295:
293:
289:
282:
279:
276:
273:
270:
269:
267:
263:
258:
252:
249:
247:
244:
242:
239:
238:
236:
232:
222:
219:
216:
213:
212:
210:
209:
207:
205:
201:
194:
193:
191:
189:
185:
180:
176:
173:
171:
167:
163:
159:
156:
154:
150:
145:
137:
133:
129:
125:
121:
119:
114:
109:
105:
102:
98:
92:
89:
88:
86:
82:
79:
76:
72:
66:March 2, 2017
59:March 2, 2017
58:
54:
49:
45:
39:
34:
19:
10711:Italic names
10710:
10704:
10698:
10685:
10669:
10634:
10548:
10541:
10529:
10522:
10478:
10452:
10427:
10401:
10356:
10302:
10243:
10237:SledgeHammer
10215:
10202:Thoroughbred
10168:
10057:
9820:Discontinued
9802:Threadripper
9704:
9405:
9396:
9384:. Retrieved
9379:
9340:. Retrieved
9336:
9326:
9314:. Retrieved
9310:
9300:
9291:
9282:
9270:. Retrieved
9260:
9251:
9242:
9230:. Retrieved
9227:ServeTheHome
9226:
9216:
9207:
9198:
9186:. Retrieved
9183:ServeTheHome
9182:
9153:. Retrieved
9149:
9104:. Retrieved
9099:
9049:. Retrieved
9040:
9031:
9019:. Retrieved
9004:
8992:. Retrieved
8977:
8965:. Retrieved
8950:
8938:. Retrieved
8934:
8924:
8912:. Retrieved
8908:
8899:
8888:. Retrieved
8884:
8875:
8850:. Retrieved
8839:
8828:. Retrieved
8818:
8807:. Retrieved
8798:
8787:. Retrieved
8783:
8774:
8763:. Retrieved
8759:
8750:
8741:
8732:
8718:
8704:
8690:
8676:
8662:
8648:
8634:
8620:
8606:
8592:
8580:. Retrieved
8576:
8549:. Retrieved
8545:
8520:. Retrieved
8516:
8487:. Retrieved
8483:
8456:. Retrieved
8452:
8428:. Retrieved
8424:the original
8419:
8410:
8399:. Retrieved
8390:
8381:
8370:. Retrieved
8368:. 2017-03-02
8365:
8356:
8345:. Retrieved
8343:. 2012-10-22
8340:
8331:
8319:. Retrieved
8313:. Phoronix.
8304:
8292:. Retrieved
8283:
8273:
8262:. Retrieved
8253:
8244:
8233:. Retrieved
8224:
8215:
8204:. Retrieved
8195:
8185:
8173:. Retrieved
8158:
8146:. Retrieved
8131:
8119:. Retrieved
8108:
8096:. Retrieved
8090:. PC World.
8081:
8069:. Retrieved
8058:
8047:. Retrieved
8027:
8016:. Retrieved
8002:
7991:. Retrieved
7971:
7960:. Retrieved
7946:
7934:. Retrieved
7919:
7908:. Retrieved
7879:. Retrieved
7864:
7853:. Retrieved
7844:
7835:
7823:. Retrieved
7812:
7802:
7791:. Retrieved
7776:
7764:. Retrieved
7732:. Retrieved
7728:the original
7717:
7705:. Retrieved
7690:
7678:. Retrieved
7664:
7652:. Retrieved
7637:
7625:. Retrieved
7616:
7607:
7596:. Retrieved
7582:
7570:. Retrieved
7555:
7543:. Retrieved
7528:
7519:
7513:. Retrieved
7499:
7477:The Inquirer
7476:
7467:
7456:. Retrieved
7447:
7438:
7426:. Retrieved
7411:
7400:. Retrieved
7391:
7382:
7371:. Retrieved
7357:
7345:. Retrieved
7336:
7326:
7315:, retrieved
7306:
7297:
7286:. Retrieved
7272:
7260:. Retrieved
7251:
7242:
7230:. Retrieved
7215:
7203:. Retrieved
7188:
7176:. Retrieved
7170:. EE Times.
7161:
7150:. Retrieved
7141:
7131:
7120:. Retrieved
7106:
7097:
7092:
7086:
7077:
7065:. Retrieved
7036:. Retrieved
7022:
7014:the original
7004:
6992:. Retrieved
6964:. Retrieved
6955:
6945:
6937:
6930:, retrieved
6926:the original
6921:
6911:
6896:
6884:. Retrieved
6875:
6865:
6854:. Retrieved
6845:
6836:
6825:. Retrieved
6816:
6807:
6795:. Retrieved
6765:. Retrieved
6749:Fog, Agner.
6744:
6733:. Retrieved
6725:The Register
6724:
6714:
6703:. Retrieved
6682:
6670:. Retrieved
6666:the original
6661:
6651:
6639:. Retrieved
6630:
6620:
6609:. Retrieved
6594:
6569:
6558:. Retrieved
6543:
6532:. Retrieved
6528:the original
6518:
6499:
6487:. Retrieved
6478:
6468:
6457:. Retrieved
6443:
6432:. Retrieved
6418:
6407:. Retrieved
6396:
6386:
6375:. Retrieved
6361:
6350:. Retrieved
6336:
6313:
6301:. Retrieved
6286:
6275:. Retrieved
6266:
6257:
6246:. Retrieved
6237:
6228:
6216:. Retrieved
6207:
6197:
6186:. Retrieved
6178:store.hp.com
6177:
6168:
6156:. Retrieved
6147:
6137:
6125:. Retrieved
6091:. Retrieved
6057:. Retrieved
6042:
6030:. Retrieved
6015:
6004:. Retrieved
5995:
5986:
5974:. Retrieved
5960:
5948:. Retrieved
5914:November 18,
5912:. Retrieved
5908:
5898:
5887:. Retrieved
5878:
5853:. Retrieved
5839:
5775:
5428:dual-channel
5407:
5392:
5380:
5367:
4805:octa-channel
4784:
4780:
4744:
4721:
4635:dual-channel
4427:
4405:
4382:
4296:dual-channel
4183:dual-channel
3981:
3954:
3930:
3889:Apr 29, 2021
3719:Part number
3650:
3626:
3585:Sep 22, 2020
3539:Sep 22, 2020
3441:Sep 22, 2020
3368:dual-channel
3217:Part number
3143:
3119:
3080:dual-channel
3033:dual-channel
2993:dual-channel
2829:dual-channel
2608:
2585:
2545:May 10, 2018
2509:May 10, 2018
2460:May 10, 2018
2424:May 10, 2018
2385:dual-channel
2368:
2341:July 7, 2019
2271:dual-channel
2075:Release date
2057:
2054:Desktop APUs
2026:
2014:
1996:
1742:quad-channel
1723:
1710:
1692:
1184:dual-channel
1166:
1151:
1143:
1136:
1127:
1112:
1102:
1084:
1080:adding to it
1075:
1038:
1034:Nvidia's SLI
1000:
997:Connectivity
991:
986:
973:
964:clock gating
961:
957:
945:
934:
918:
916:benchmarks.
903:
899:
888:
868:
836:
823:
815:
812:
804:
801:
794:
777:
739:
728:
631:stack engine
629:A dedicated
603:Clock gating
553:Core Complex
552:
517:
510:
449:
437:
395:
358:
357:
10624:5 nm / 4 nm
10531:K12 (ARM64)
10494:Steamroller
10183:Thunderbird
10152:Microarchi.
10144:Microarchi.
9827:Early x86 (
9653:Steamroller
9041:VentureBeat
8909:TechPowerUp
8885:www.amd.com
8784:www.amd.com
8760:www.amd.com
8742:www.amd.com
8453:Gear Primer
8321:13 December
8175:12 December
8148:12 December
7766:13 December
7734:22 February
7707:January 17,
7572:10 February
7392:ExtremeTech
7252:KitGuru.net
7224:. TechArp.
6817:www.amd.com
6238:www.amd.com
5996:TechPowerUp
5879:ExtremeTech
5665:32 MB
5583:16 MB
5319:180 W
5289:200 W
5252:180 W
5198:180 W
5113:200 W
5047:64 MB
5018:32 MB
4980:64 MB
4945:120 W
4942:32 MB
4568:64 KB inst.
3832:512 KB
3811:14 nm
3807:Jul 6, 2020
3556:Jan 6, 2020
3522:Jan 6, 2020
3458:Jan 6, 2020
3424:Jan 6, 2020
3330:512 KB
3309:14 nm
3305:Jan 6, 2020
2840:Athlon 300U
2795:512 KB
2627:Mobile APUs
2487:RX Vega 11
2265:16 (8+4+4)
2229:64 KB inst.
1950:16 MB
1893:180 W
1890:32 MB
1325:16 MB
1019:PCI Express
1003:southbridge
937:DDR4 memory
885:Performance
871:die shrinks
847:Steamroller
761:AMD FX
548:processors.
423:, bringing
327:Predecessor
188:Transistors
74:Designed by
10727:Categories
10705:Bold names
10618:introduced
10556:introduced
10517:introduced
10470:Piledriver
10372:introduced
10261:Winchester
10223:ClawHammer
10127:Node range
9648:Piledriver
9473:Processors
9051:2017-08-08
8890:2019-06-10
8852:2019-06-10
8830:2019-06-10
8826:. TechSpot
8809:2018-01-19
8789:2019-06-10
8765:2019-06-10
8430:2017-03-12
8401:2017-03-12
8372:2017-03-12
8347:2017-03-12
8294:7 February
8264:2016-01-10
8235:2016-01-10
8225:Tech Times
8206:2018-11-24
8167:. PC Per.
8098:13 January
8071:13 January
8049:2017-06-14
8018:2016-05-09
7993:2016-05-09
7962:2016-05-09
7910:2016-01-10
7855:2016-02-24
7793:2017-03-10
7598:2018-07-29
7515:2016-03-07
7458:2016-01-10
7402:2016-01-12
7373:2019-12-22
7317:2016-01-10
7288:2015-11-03
7152:2020-01-04
7122:2015-10-14
7038:2015-10-13
6994:31 January
6966:2019-05-31
6856:2020-11-25
6827:2017-09-19
6767:2016-08-23
6735:2017-09-19
6705:2016-08-23
6611:2016-08-18
6560:2017-06-21
6534:2016-01-11
6489:1 February
6459:2015-05-12
6434:2015-05-12
6409:2020-10-28
6377:2020-10-28
6352:2020-10-28
6277:2017-03-08
6248:2017-09-29
6218:10 January
6188:2017-12-09
6006:2016-01-17
5976:17 January
5889:2015-11-29
5855:2020-11-07
5832:References
5718:85 W
5668:65 W
5630:55 W
5611:30 W
5586:45 W
5553:35 W
5550:8 MB
5479:Clock rate
4856:Clock rate
4755:operation.
4570:32 KB data
4504:Processing
4479:Clock rate
4416:operation.
4069:Processing
4044:Clock rate
3965:operation.
3884:AMD 3015Ce
3839:4 MB
3761:Processing
3736:Clock rate
3661:operation.
3506:15 W
3408:15 W
3337:4 MB
3259:Processing
3234:Clock rate
3154:operation.
2802:4 MB
2720:Processing
2691:Clock rate
2619:operation.
2231:32 KB data
2160:Processing
2135:Clock rate
1796:Clock rate
1569:8 MB
1483:65 W
1451:95 W
1401:65 W
1328:95 W
1238:Clock rate
1087:March 2023
797:Jim Keller
697:coalescing
693:page table
617:perceptron
574:, and two
491:Spreader).
433:SP3 socket
429:TR4 socket
421:AM4 socket
417:write-back
363:Zen family
251:Socket SP3
246:Socket TR4
241:Socket AM4
106:Family 17h
10509:Excavator
10460:Bulldozer
10392:Athlon II
10388:Phenom II
10304:Greyhound
10289:San Diego
10251:Lancaster
10230:Newcastle
9946:Athlon 64
9658:Excavator
9643:Bulldozer
9609:Athlon/K7
9406:CPU-World
9386:March 26,
9316:March 28,
9292:CPU-World
9272:March 28,
9252:CPU-World
9232:March 28,
9208:CPU-World
9150:AnandTech
9106:March 25,
8914:April 25,
7814:Eurogamer
7617:Anandtech
7428:22 August
7262:30 August
7067:18 August
6932:19 August
6641:23 August
6329:AnandTech
6148:AnandTech
6127:18 August
6083:AnandTech
5950:18 August
5909:AnandTech
5525:All-core
5426:-2666 in
5303:UnÂknown
4803:-2666 in
4631:DDR4-2400
4601:DDR4-2400
4339:704:44:16
4293:DDR4-3200
4272:512:32:16
4220:512:32:16
4179:DDR4-2400
4168:192:12:16
3873:6 W
3866:DDR4-1600
3863:12 (8+4)
3802:AMD 3015e
3482:6 W
3373:6 W
3364:DDR4-2400
3361:12 (8+4)
3300:AMD 3020e
3077:DDR4-3200
3069:704:44:16
3030:DDR4-2400
3019:640:40:16
2990:DDR4-3200
2959:512:32:16
2825:DDR4-2400
2822:12 (8+4)
2521:US $ 169
2490:704:44:16
2411:512:32:16
2003:at launch
1961:US $ 549
1929:US $ 799
1910:US $ 999
1740:-2666 in
1699:at launch
1657:US $ 109
1609:US $ 129
1577:US $ 169
1533:US $ 189
1506:US $ 219
1462:US $ 249
1424:US $ 329
1380:US $ 399
1345:PRO 1700X
1339:US $ 499
1182:-2666 in
1005:into the
914:HandBrake
895:Excavator
877:W, or ~45
859:Kaby Lake
851:Excavator
763:CPUs and
611:Improved
578:per core.
352:Supported
337:Successor
10345:Brisbane
10284:Richmond
10190:Palomino
9923:AMD Élan
9567:Chipsets
9311:Phoronix
9155:June 21,
9045:Archived
9015:Archived
8988:Archived
8961:Archived
8861:cite web
8458:June 11,
8395:Archived
8391:bit-tech
8315:Archived
8288:Archived
8258:Archived
8229:Archived
8200:Archived
8196:Wccftech
8169:Archived
8142:Archived
8092:Archived
8040:Archived
8012:Archived
7984:Archived
7956:Archived
7930:Archived
7904:Archived
7875:Archived
7849:Archived
7845:TechSpot
7825:10 March
7819:Archived
7787:Archived
7760:Archived
7701:Archived
7674:Archived
7648:Archived
7627:23 March
7621:Archived
7592:Archived
7566:Archived
7539:Archived
7521:quarter.
7509:Archived
7485:cite web
7452:Archived
7422:Archived
7396:Archived
7367:Archived
7341:Archived
7311:archived
7282:Archived
7256:Archived
7232:20 April
7226:Archived
7199:Archived
7172:Archived
7146:Archived
7116:Archived
7061:Archived
7032:Archived
6988:Archived
6960:Archived
6880:Archived
6850:Archived
6821:Archived
6797:17 March
6791:Archived
6758:Archived
6729:Archived
6696:Archived
6672:13 March
6635:Archived
6631:WCCFtech
6605:Archived
6583:Archived
6554:Archived
6507:Archived
6483:Archived
6453:Archived
6428:Archived
6403:Archived
6398:PC Gamer
6371:Archived
6346:Archived
6321:Archived
6303:22 March
6297:Archived
6271:Archived
6242:Archived
6212:Archived
6182:Archived
6158:8 August
6152:Archived
6121:Archived
6087:Archived
6053:Archived
6026:Archived
6000:Archived
5970:Archived
5944:Archived
5883:Archived
5849:Archived
5790:See also
5731:16 (32)
5709:16 (16)
5692:12 (24)
5681:Feb 2018
5653:12 (12)
5644:Dec 2018
5566:Feb 2018
5501:Chiplets
5492:(total)
5445:PCIe 3.0
5412:Socket:
5327:$ 4,200
5323:Jun 2017
5293:Nov 2018
5270:$ 3,400
5255:$ 2,100
5234:$ 3,400
5216:32 (64)
5201:$ 2,400
5174:$ 1,850
5159:$ 1,075
5155:Jun 2017
5136:24 (48)
5121:$ 1,550
5117:Nov 2018
5089:$ 1,100
5025:Jun 2017
5006:16 (32)
4987:Jun 2018
4958:Jun 2017
4894:options
4891:Embedded
4887:Release
4878:Chiplets
4869:(total)
4822:PCIe 3.0
4789:Socket:
4732: :
4728: :
4590:192:12:4
4580:per core
4573:per core
4393: :
4389: :
4336:Vega 11
4231:-40–105
4158:per core
4151:per core
4020:Junction
3941: :
3937: :
3849:192:12:4
3844:Graphics
3835:per core
3828:per core
3637: :
3633: :
3497:Q1 2021
3471:192:12:4
3388:Q1 2021
3347:192:12:4
3342:Graphics
3333:per core
3326:per core
3130: :
3126: :
2919:384:24:8
2808:192:12:4
2798:per core
2791:per core
2596: :
2592: :
2436:US $ 99
2367:c. 2019
2327:US $ 49
2314:US $ 75
2298:US $ 65
2251:192:12:4
2241:per core
2234:per core
2205:US $ 55
1920:12 (24)
1876:16 (32)
1818:Chiplets
1809:(total)
1749:PCIe 3.0
1729:Socket:
1630:PRO 1200
1615:PRO 1300
1582:Ryzen 3
1539:PRO 1500
1468:PRO 1600
1429:Ryzen 5
1386:PRO 1700
1303:Ryzen 7
1251:(total)
1248:L3 cache
1191:PCIe 3.0
1171:Socket:
1109:Products
1055:Features
765:AMD APUs
733:—
695:entry)
679:support.
673:support.
177:(x86-64)
135:L3 cache
127:L2 cache
56:Launched
10589:chiplet
10378:Caspian
10338:Orleans
10319:Windsor
10058:Italics
10033:Alchemy
10028:Am29000
9990:Opteron
9963:Sempron
9670:1st gen
9545:Opteron
9535:Sempron
9342:5 April
9188:May 16,
8994:7 March
8967:7 March
8940:5 April
8881:"Specs"
8780:"Specs"
8756:"Specs"
8577:PCWorld
8517:eTeknix
8254:KitGuru
8121:3 March
7881:14 July
7545:27 June
7448:KitGuru
7347:26 July
7205:3 March
7178:3 March
7098:YouTube
6886:26 July
6479:KitGuru
6267:PCWorld
6208:PCWorld
5801:AMD K10
5624:8 (16)
5510:Release
5507:config
5473:threads
4930:8 (16)
4884:config
4850:threads
4587:Vega 3
4496:Config
4473:threads
4456:support
4437:Release
4347:1830.4
4280:1126.4
4269:Vega 8
4228:1126.4
4217:Vega 8
4165:Vega 3
4148:KB data
4061:Config
4038:threads
4010:support
3991:Release
3846:(Vega)
3753:Config
3730:threads
3710:support
3679:Release
3669:Pollock
3397:128:8:4
3344:(Vega)
3251:Config
3228:threads
3208:support
3177:Release
3074:1830.4
2964:1126.4
2708:Config
2685:threads
2668:support
2637:Release
2408:Vega 8
2248:Vega 3
2152:Config
2129:threads
2110:support
1939:8 (16)
1827:Release
1824:config
1790:threads
1437:6 (12)
1311:8 (16)
1264:Release
1261:config
1232:threads
1123:Opteron
910:Blender
855:Skylake
818:Polaris
648:Skylake
590:integer
444:chip(s)
379:E3 2016
322:History
234:Sockets
64: (
31:AMD Zen
10611:Zen 3+
10591:design
10484:Jaguar
10407:Bobcat
10364:Phenom
10296:Toledo
10197:130 nm
10164:180 nm
10120:Turion
10038:MIPS32
10016:Am2900
10011:Am9080
9973:Phenom
9968:Turion
9937:64-bit
9933:x86-64
9896:Athlon
9891:K6-III
9871:Am5x86
9852:32-bit
9829:16-bit
9792:Athlon
9783:64-bit
9779:x86-64
9733:Jaguar
9690:Zen 3+
9590:32-bit
9540:Turion
9515:Phenom
9488:Athlon
9382:. 2018
9021:16 May
8656:. AMD.
8642:. AMD.
8614:. AMD.
8600:. AMD.
8582:14 May
8551:14 May
8522:14 May
8489:14 May
7936:2 June
7680:4 June
7654:7 June
7337:Forbes
6093:15 May
6059:16 May
6032:16 May
5796:AMD K9
5721:4 Ă— 4
5677:4 Ă— 3
5614:2 Ă— 4
5599:8 (8)
5589:2 Ă— 2
5577:4 (8)
5562:1 Ă— 4
5538:4 (4)
5520:Boost
5464:Model
5231:8 Ă— 4
5151:8 Ă— 3
5074:$ 750
5050:$ 800
5029:$ 650
5021:8 Ă— 2
4991:$ 570
4962:$ 475
4954:8 Ă— 1
4912:(USD)
4902:Boost
4841:Model
4824:lanes.
4686:460.8
4673:R1606G
4665:
4650:R1505G
4642:
4622:2 (4)
4618:R1305G
4610:
4577:512 KB
4559:2 (2)
4545:R1102G
4510:GFLOPS
4501:(GHz)
4493:Model
4454:Memory
4434:Model
4341:11 CU
4326:V1807B
4310:V1780B
4302:
4286:V1756B
4256:V1605B
4250:0–105
4237:V1500B
4208:4 (8)
4201:V1404I
4195:0–105
4190:
4155:512 KB
4146:
4137:
4126:2 (4)
4110:V1202B
4075:GFLOPS
4066:(GHz)
4058:Model
4008:Memory
3988:Model
3857:230.4
3842:Radeon
3814:2 (4)
3767:GFLOPS
3758:(GHz)
3750:Model
3708:Memory
3704:lanes
3696:Socket
3676:Model
3569:460.8
3462:2 (4)
3405:281.6
3340:Radeon
3312:2 (2)
3265:GFLOPS
3256:(GHz)
3248:Model
3206:Memory
3202:lanes
3194:Socket
3174:Model
3071:11 CU
3021:10 CU
2950:4 (8)
2927:844.8
2907:4 (4)
2894:460.8
2872:422.4
2777:2 (4)
2726:GFLOPS
2705:Model
2666:Memory
2662:lanes
2654:Socket
2634:Model
2492:11 CU
2478:4 (8)
2399:4 (4)
2333:424.4
2238:512 KB
2220:2 (4)
2166:GFLOPS
2157:(GHz)
2149:Model
2108:memory
2102:lanes
2095:Socket
2072:Model
1953:2 Ă— 4
1947:(3.9)
1926:4 Ă— 3
1902:4 Ă— 4
1884:(3.7)
1834:price
1832:Launch
1639:(3.1)
1598:(3.5)
1590:4 (4)
1563:(3.4)
1530:2 Ă— 2
1524:(3.6)
1516:4 (8)
1477:(3.4)
1454:2 Ă— 3
1445:(3.7)
1395:(3.2)
1354:(3.5)
1331:2 Ă— 4
1319:(3.7)
1271:price
1269:Launch
1017:, and
931:Memory
879:
875:
839:FinFET
753:
745:FinFET
705:
701:
654:RDSEED
566:, two
546:Oracle
464:
454:Design
405:FinFET
313:Athlon
162:FinFET
10687:Zen 7
10671:Zen 6
10652:Zen 5
10629:Zen 4
10597:Zen 3
10583:Zen 2
10564:12 nm
10537:14 nm
10489:28 nm
10441:Llano
10423:32 nm
10412:40 nm
10383:45 nm
10333:65 nm
10328:Tyler
10256:90 nm
10129:label
10122:/ ULV
10004:Other
9918:Geode
9913:Duron
9866:Am486
9861:Am386
9848:IA-32
9838:Am286
9797:Ryzen
9750:ARM64
9706:Zen 6
9700:Zen 5
9695:Zen 4
9685:Zen 3
9680:Zen 2
9586:IA-32
9530:Duron
9525:Ryzen
9466:Lists
9376:(PDF)
9096:(PDF)
8484:PCMAG
8043:(PDF)
8036:(PDF)
7987:(PDF)
7980:(PDF)
6872:"XFR"
6761:(PDF)
6754:(PDF)
6699:(PDF)
6692:(PDF)
6586:(PDF)
6579:(PDF)
5826:Zen 2
5811:Ryzen
5737:2.45
5734:2.15
5715:2.25
5712:1.85
5706:3401
5698:2.75
5659:2.15
5650:3301
5517:Base
5512:date
5468:Cores
5455:14 nm
5435:cache
5280:7571
5249:2.55
5245:7551P
5132:7401P
5061:7351P
4909:Price
4905:Date
4899:Base
4845:Cores
4812:cache
4663:12–25
4592:3 CU
4584:4 MB
4556:14LP
4553:GloFo
4523:Boost
4506:power
4499:Clock
4489:Cache
4468:Cores
4439:date
4424:R1000
4317:3.35
4300:35–54
4290:3.25
4274:8 CU
4222:8 CU
4188:12–25
4170:3 CU
4162:4 MB
4141:inst.
4118:GloFo
4088:Boost
4071:power
4064:Clock
4054:Cache
4033:Cores
4027:(°C)
4024:range
4022:temp.
3993:date
3978:V1000
3851:3 CU
3780:Boost
3763:power
3756:Clock
3746:Cache
3725:Cores
3681:date
3473:3 CU
3399:2 CU
3349:3 CU
3278:Boost
3261:power
3254:Clock
3244:Cache
3223:Cores
3179:date
3027:1664
3024:1300
2961:8 CU
2924:1100
2921:6 CU
2891:1200
2869:1100
2813:1000
2810:3 CU
2769:GloFo
2765:2019
2739:Boost
2722:power
2711:Clock
2701:Cache
2680:Cores
2639:date
2498:1760
2495:1.25
2416:1126
2413:8 CU
2253:3 CU
2245:4 MB
2209:GloFo
2179:Boost
2162:power
2155:Clock
2145:Cache
2124:Cores
1935:1900X
1916:1920X
1872:1950X
1866:Ryzen
1839:Base
1829:date
1785:Cores
1759:cache
1744:mode.
1642:3.45
1586:1300X
1566:3.45
1512:1500X
1433:1600X
1398:3.75
1371:1700X
1307:1800X
1276:Base
1266:date
1227:Cores
1214:14 LP
1201:cache
1186:mode.
1163:Ryzen
1139:Ryzen
1115:Ryzen
925:Zen 2
863:10 nm
691:PTE (
562:Four
542:Intel
402:14 nm
375:Ryzen
369:from
298:Ryzen
204:Cores
175:AMD64
158:14 nm
118:cache
111:Cache
101:CPUID
18:Zen 1
10681:2 nm
10665:2 nm
10661:3 nm
10647:3 nm
10643:4 nm
10616:DDR5
10607:6 nm
10578:7 nm
10569:Zen+
10515:DDR4
10504:Puma
10434:Lynx
10370:DDR3
10352:Lion
10156:Step
10148:Step
10021:list
9886:K6-2
9807:Epyc
9738:Puma
9729:16h
9675:Zen+
9639:15h
9557:APUs
9550:Epyc
9388:2023
9344:2018
9318:2023
9274:2023
9234:2023
9190:2017
9157:2017
9108:2023
9023:2017
8996:2017
8969:2017
8942:2018
8916:2023
8867:link
8584:2024
8553:2024
8524:2024
8491:2024
8460:2020
8323:2016
8296:2017
8177:2016
8150:2016
8123:2017
8100:2017
8073:2017
7938:2017
7883:2017
7827:2017
7768:2016
7736:2017
7709:2016
7682:2016
7656:2016
7629:2016
7574:2017
7547:2016
7491:link
7430:2016
7349:2016
7264:2015
7234:2017
7207:2017
7180:2017
7069:2016
6996:2015
6934:2016
6888:2017
6799:2015
6674:2017
6643:2016
6491:2015
6305:2017
6220:2016
6160:2017
6129:2016
6095:2015
6061:2017
6034:2017
5978:2016
5952:2016
5916:2022
5821:Zen+
5727:3451
5695:1.9
5688:3351
5671:2 x
5662:3.0
5656:2.0
5636:3255
5627:2.5
5620:3251
5608:3.1
5605:3.1
5602:1.5
5595:3201
5580:2.7
5573:3151
5556:1 x
5547:2.9
5544:2.9
5541:2.1
5534:3101
5528:Max
5505:Core
5424:DDR4
5316:3.2
5313:2.7
5309:7601
5297:OEM/
5286:3.0
5283:2.2
5266:7551
5259:755P
5225:3.0
5222:2.6
5219:2.0
5212:7501
5195:3.2
5192:2.9
5189:2.3
5185:7451
5170:7401
5163:740P
5145:3.0
5142:2.8
5139:2.0
5110:3.8
5107:3.6
5104:3.1
5100:7371
5085:7351
5078:735P
5071:2.9
5068:2.9
5065:2.4
5044:2.2
5040:7301
5015:2.7
5012:2.7
5009:2.1
5002:7281
4977:2.5
4973:7261
4948:4 Ă—
4939:2.9
4936:2.9
4933:2.1
4926:7251
4920:Max
4882:Core
4832:14LP
4801:DDR4
4777:Epyc
4769:Epyc
4736:and
4683:1.2
4680:3.5
4677:2.6
4660:3.3
4657:2.4
4640:8-10
4628:2.8
4625:1.5
4598:384
4595:1.0
4565:2.6
4562:1.2
4518:Base
4450:GPU
4447:CPU
4397:and
4344:1.3
4333:3.8
4277:1.1
4266:3.6
4263:2.0
4241:2.2
4225:1.1
4214:3.6
4211:2.0
4176:384
4173:1.0
4132:3.2
4129:2.3
4122:14LP
4083:Base
4004:GPU
4001:CPU
3949:(CU)
3945:and
3860:FT5
3854:0.6
3820:2.3
3817:1.2
3775:Base
3701:PCIe
3692:GPU
3689:CPU
3645:(CU)
3641:and
3566:1.2
3563:3.5
3560:2.6
3503:3.3
3500:2.4
3479:384
3476:1.0
3468:2.8
3465:1.4
3402:1.1
3394:3.2
3391:2.3
3358:FP5
3355:384
3352:1.0
3318:2.6
3315:1.2
3273:Base
3199:PCIe
3190:GPU
3187:CPU
3167:DalĂ
3138:(CU)
3134:and
3063:3.3
3013:3.8
3010:2.2
2987:3.2
2953:3.6
2913:3.4
2910:2.0
2888:3.5
2885:2.6
2866:3.4
2863:2.5
2850:3.3
2847:2.4
2819:FP5
2816:384
2783:3.2
2780:2.3
2773:14LP
2734:Base
2659:PCIe
2650:GPU
2647:CPU
2600:and
2550:OEM
2547:OEM
2532:3.9
2529:3.6
2511:OEM
2484:3.8
2481:3.2
2475:OEM
2465:OEM
2462:OEM
2447:3.7
2444:3.5
2426:OEM
2405:3.6
2402:3.2
2396:OEM
2382:2933
2373:3.2
2369:OEM
2359:OEM
2349:3.4
2346:OEM
2343:OEM
2330:1.1
2317:3.5
2304:3.4
2288:OEM
2285:OEM
2268:2667
2262:AM4
2259:384
2256:1.0
2223:3.2
2213:14LP
2174:Base
2118:(W)
2106:DDR4
2100:PCIe
2091:GPU
2088:CPU
1942:3.8
1923:3.5
1896:2 Ă—
1887:4.2
1879:3.4
1851:(≥5)
1822:Core
1772:14LP
1738:DDR4
1648:1200
1637:3.4
1634:3.1
1624:OEM
1601:3.9
1596:3.7
1593:3.5
1561:3.4
1558:3.2
1554:1400
1548:OEM
1527:3.9
1522:3.7
1519:3.5
1497:1600
1491:OEM
1480:3.7
1475:3.6
1472:3.2
1448:4.1
1443:4.0
1440:3.6
1415:1700
1409:OEM
1393:3.7
1390:3.0
1365:OEM
1357:3.9
1352:3.8
1349:3.4
1322:4.1
1317:4.0
1314:3.6
1288:(≥3)
1259:Core
1180:DDR4
1119:Epyc
1099:APUs
1060:CPUs
1045:PCIe
1032:and
1022:NVMe
1011:SATA
921:AVX2
857:and
849:and
769:DDR4
665:SMAP
568:AGUs
564:ALUs
544:and
425:DDR4
391:APUs
387:Epyc
341:Zen+
308:Epyc
103:code
44:ensĹŤ
10554:SMT
10549:Zen
10543:Zen
10524:K12
10479:16h
10445:APU
10429:K10
10402:14h
10358:K10
10267:K8(
10245:K8L
10135:x86
10107:Zen
10105:to
9665:Zen
9380:AMD
9100:AMD
5673:CCD
5558:CCD
5496:TDP
5483:GHz
5433:L1
5421:ECC
5414:SP4
5331:Yes
5299:AWS
5274:Yes
5238:Yes
5205:Yes
5178:Yes
5125:Yes
5093:Yes
5054:Yes
5033:Yes
4995:Yes
4966:Yes
4950:CCD
4873:TDP
4860:GHz
4810:L1
4798:ECC
4791:SP3
4753:FMA
4483:GHz
4461:TDP
4443:Fab
4414:FMA
4139:KB
4048:GHz
4015:TDP
3997:Fab
3963:FMA
3740:GHz
3715:TDP
3685:Fab
3659:FMA
3238:GHz
3213:TDP
3183:Fab
3152:FMA
2715:MHz
2695:GHz
2673:TDP
2643:Fab
2617:FMA
2537:65
2535:45–
2501:35
2452:65
2450:45–
2275:35
2139:GHz
2115:TDP
2081:Fab
1945:4.0
1898:CCD
1882:4.0
1860:1–2
1856:XFR
1847:1–4
1843:PBO
1813:TDP
1800:GHz
1757:L1
1731:TR4
1297:1–2
1293:XFR
1284:1–2
1280:PBO
1255:TDP
1242:GHz
1199:L1
1173:AM4
1082:.
1015:USB
1007:SoC
943:.
941:ECC
807:K12
677:SHA
671:ADX
538:IBM
513:AMD
440:SoC
413:SMT
371:AMD
359:Zen
140:CCX
116:L1
78:AMD
10729::
10663:/
10645:/
10390:/
10277:K9
10269:Ă—2
10217:K8
10170:K7
10103:K7
9985:FX
9978:II
9956:II
9951:X2
9906:MP
9901:XP
9881:K6
9876:K5
9630:K9
9625:K8
9604:K6
9599:K5
9520:FX
9508:II
9503:X2
9498:64
9493:XP
9483:K6
9478:K5
9404:.
9378:.
9352:^
9335:.
9309:.
9290:.
9250:.
9225:.
9206:.
9181:.
9165:^
9148:.
9116:^
9098:.
9060:^
9039:.
8933:.
8907:.
8883:.
8863:}}
8859:{{
8782:.
8758:.
8740:.
8575:.
8561:^
8544:.
8532:^
8515:.
8499:^
8482:.
8468:^
8451:.
8439:^
8418:.
8393:.
8389:.
8364:.
8339:.
8282:.
8256:.
8252:.
8227:.
8223:.
8198:.
8194:.
8038:.
8010:.
7982:.
7954:.
7891:^
7847:.
7843:.
7817:.
7811:.
7785:.
7744:^
7646:.
7619:.
7615:.
7518:.
7487:}}
7483:{{
7475:.
7450:.
7446:.
7394:.
7390:.
7339:.
7335:.
7309:,
7305:,
7250:.
7144:.
7140:.
7096:.
7047:^
6975:^
6958:.
6954:.
6936:,
6920:,
6878:.
6874:.
6848:.
6844:.
6819:.
6815:.
6776:^
6727:.
6723:.
6660:.
6633:.
6629:.
6603:.
6552:.
6481:.
6477:.
6401:.
6395:.
6369:.
6344:.
6327:.
6269:.
6265:.
6240:.
6236:.
6210:.
6206:.
6180:.
6176:.
6150:.
6146:.
6103:^
6085:.
6081:.
6069:^
5998:.
5994:.
5924:^
5907:.
5881:.
5877:.
5864:^
5485:)
5475:)
4862:)
4852:)
4667:W
4644:W
4612:W
4538:L3
4533:L2
4528:L1
4512:)
4485:)
4475:)
4320:—
4304:W
4247:—
4244:—
4192:W
4144:32
4135:64
4103:L3
4098:L2
4093:L1
4077:)
4050:)
4040:)
3795:L3
3790:L2
3785:L1
3769:)
3742:)
3732:)
3293:L3
3288:L2
3283:L1
3267:)
3240:)
3230:)
2754:L3
2749:L2
2744:L1
2728:)
2717:)
2697:)
2687:)
2379:?
2376:?
2226:—
2194:L3
2189:L2
2184:L1
2168:)
2141:)
2131:)
1802:)
1792:)
1244:)
1234:)
1036:.
1013:,
983:.
927:.
540:,
435:.
411:.
10635:x
10271:)
10094:e
10087:t
10080:v
9939:)
9935:(
9854:)
9850:(
9831:)
9785:)
9781:(
9592:)
9588:(
9451:e
9444:t
9437:v
9390:.
9346:.
9320:.
9276:.
9236:.
9192:.
9159:.
9110:.
9054:.
9025:.
8998:.
8971:.
8944:.
8918:.
8893:.
8869:)
8855:.
8833:.
8812:.
8792:.
8768:.
8744:.
8726:.
8712:.
8684:.
8670:.
8628:.
8586:.
8555:.
8526:.
8493:.
8462:.
8433:.
8404:.
8375:.
8350:.
8325:.
8298:.
8267:.
8238:.
8209:.
8179:.
8152:.
8125:.
8102:.
8075:.
8052:.
8021:.
7996:.
7965:.
7940:.
7913:.
7885:.
7858:.
7829:.
7796:.
7770:.
7738:.
7711:.
7684:.
7658:.
7631:.
7601:.
7576:.
7549:.
7493:)
7461:.
7432:.
7405:.
7376:.
7351:.
7321:.
7291:.
7266:.
7236:.
7209:.
7182:.
7155:.
7125:.
7071:.
7041:.
6998:.
6969:.
6905:.
6890:.
6859:.
6830:.
6801:.
6770:.
6738:.
6708:.
6676:.
6645:.
6614:.
6563:.
6537:.
6493:.
6462:.
6437:.
6412:.
6380:.
6355:.
6331:.
6307:.
6280:.
6251:.
6222:.
6191:.
6162:.
6131:.
6097:.
6063:.
6036:.
6009:.
5980:.
5954:.
5918:.
5892:.
5858:.
5764:e
5757:t
5750:v
5481:(
5471:(
5457:.
5356:e
5349:t
5342:v
4858:(
4848:(
4834:.
4793:.
4710:e
4703:t
4696:v
4608:6
4508:(
4481:(
4471:(
4371:e
4364:t
4357:v
4073:(
4046:(
4036:(
3919:e
3912:t
3905:v
3765:(
3738:(
3728:(
3615:e
3608:t
3601:v
3263:(
3236:(
3226:(
3108:e
3101:t
3094:v
2724:(
2713:(
2693:(
2683:(
2574:e
2567:t
2560:v
2164:(
2137:(
2127:(
1985:e
1978:t
1971:v
1798:(
1788:(
1774:.
1733:.
1681:e
1674:t
1667:v
1240:(
1230:(
1216:.
1175:.
1089:)
1085:(
975:(
688:.
605:.
585:.
570:/
164:)
160:(
68:)
20:)
Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.