Knowledge (XXG)

Network on a chip

Source 📝

1163: 956: 893: 56: 1264:
In a multi-core system, connected by NoC, coherency messages and cache miss requests have to pass switches. Accordingly, switches can be augmented with simple tracking and forwarding elements to detect which cache blocks will be requested in the future by which cores. Then, the forwarding elements
1724:
Slyusar V. I., Slyusar D.V. Pyramidal design of nanoantennas array. // VIII International Conference on Antenna Theory and Techniques (ICATT'11). - Kyiv, Ukraine. - National Technical University of Ukraine "Kyiv Polytechnic Institute". - September 20–23, 2011. - Pp. 140–142.
1229:
Many challenging research problems remain to be solved at all levels, from the physical link level through the network level, and all the way up to the system architecture and application software. The first dedicated research symposium on networks on chip was held at
1273:
NoC development and studies require comparing different proposals and options. NoC traffic patterns are under development to help such evaluations. Existing NoC benchmarks include NoCBench and MCSL NoC Traffic Patterns.
1209:
would be necessary to achieve microsecond precision, a degree that is rarely needed in practice for end users (sound or video jitter need only tenth of milliseconds latency guarantee). Another motivation for NoC-level
1013:
The topology determines the physical layout and connections between nodes and channels. The message traverses hops, and each hop's channel length depends on the topology. The topology significantly influences both
1807:
Marcello Coppola, Miltos D. Grammatikakis, Riccardo Locatelli, Giuseppe Maruccia, Lorenzo Pieralisi, "Design of Cost-Efficient Interconnect Processing Units: Spidergon STNoC", CRC Press, 2008,
2038:
Design Automation for Embedded Systems (Springer), "Application driven network-on-chip architecture exploration & refinement for a complex SoC", June 2011, Volume 15, Issue 2, pp 133–158,
864:-based design. Preceding researches proposed the design that routes data packets instead of routing the wires. Then, the concept of "network on chips" was proposed in 2002. NoCs improve the 4292: 3321: 572: 1018:
and power consumption. Furthermore, since the topology determines the number of alternative paths between nodes, it affects the network traffic distribution, and hence the
1235: 2293: 4236: 3432: 2615: 1978: 1937: 3134: 3291: 2857: 2674: 2070: 2637: 1336: 932: 679: 3286: 1512:. Association for Computing Machinery, ACM Special Interest Group on Design Automation. New York, N.Y.: Association for Computing Machinery. 2001. 1186:. Real-time computation, including audio and video playback, is one reason for providing QoS support. However, current system implementations like 4391: 3358: 2132: 1073: 3111: 4547: 1954: 1913: 1886: 1859: 1812: 1606: 1482: 2042: 4055: 3179: 2442: 2286: 4229: 4065: 3206: 1031: 1002: 2333: 2250: 562: 291: 4396: 3373: 3201: 3174: 2553: 2229: 1688: 1517: 1428: 1380: 766: 738: 2524: 4431: 4314: 4188: 3751: 2644: 2610: 2605: 2489: 1265:
multicast any requested block to all the cores that may request the block in the future. This mechanism reduces cache miss rate.
636: 219: 4582: 4163: 4060: 3461: 3368: 3169: 2412: 2390: 2279: 2154: 532: 1226:
on the level of service that a user receives, a feature that may be deemed desirable by some corporate or government clients.
517: 4562: 4222: 2908: 2343: 2063: 522: 1728: 1510:
Proceedings, 2001 Design Automation Conference : 38th DAC: Las Vegas Convention Center, Las Vegas, NV, June 18-22, 2001
1987: 1745: 1704: 4386: 3363: 3211: 3045: 2659: 2620: 2477: 2245: 2160: 1576: 1488: 672: 631: 148: 512: 4572: 4552: 3800: 3645: 3640: 3562: 3038: 2999: 2654: 2649: 2583: 2395: 1829: 1320: 1123: 477: 321: 268: 83: 2519: 4577: 3427: 3124: 2822: 1787: 507: 4542: 4297: 4077: 3724: 3141: 2632: 2600: 2370: 2358: 2338: 1541: 641: 547: 542: 306: 204: 143: 1988:"Tree-based scheme for reducing shared cache miss rate leveraging regional, statistical and temporal similarities" 1746:"Tree-based scheme for reducing shared cache miss rate leveraging regional, statistical and temporal similarities" 4557: 4492: 4324: 4168: 4131: 4121: 2509: 2217: 2056: 1326: 1295: 1039: 229: 527: 4461: 4309: 4287: 4261: 4183: 3590: 3526: 3503: 3353: 3315: 3151: 3101: 3096: 2573: 2467: 2375: 2234: 2111: 1303: 1050: 665: 567: 34: 2380: 1205:
applications the service quality of existing on-chip interconnect infrastructure is sufficient, and dedicated
1631: 4567: 4446: 4136: 3919: 3813: 3777: 3694: 3678: 3520: 3309: 3268: 3256: 3119: 3033: 2954: 2719: 2323: 2099: 1223: 648: 467: 234: 168: 123: 1672: 4341: 4336: 3942: 3914: 3824: 3789: 3538: 3532: 3514: 3248: 3242: 3146: 3050: 2941: 2880: 2742: 2385: 1215: 552: 537: 452: 2043:
http://www.arteris.com/hs-fs/hub/48858/file-14363521-pdf/docs/springer-appdrivennocarchitecture8.5x11.pdf
4482: 4426: 4418: 4271: 4253: 4245: 4116: 4025: 3771: 3483: 3301: 3060: 3028: 2986: 2898: 2699: 2514: 2504: 2494: 2484: 2454: 2437: 2302: 2212: 1087: 1069: 1019: 1015: 924: 873: 808: 776: 653: 472: 442: 331: 286: 4451: 4374: 4358: 4146: 4082: 3668: 3390: 3280: 3227: 2759: 2472: 2328: 2310: 2195: 1341: 1252:
The possible way to increasing the performance of NoC is use wireless communication channels between
1239: 1231: 928: 846: 420: 301: 4193: 3795: 4587: 4499: 4178: 3998: 3849: 3831: 3783: 3437: 3384: 3189: 3184: 3161: 3077: 2959: 2814: 2709: 2568: 2189: 1467:
Proceedings Design, Automation and Test in Europe Conference and Exhibition 2000 (Cat. No. PR00537)
1299: 1202: 990: 457: 326: 316: 311: 163: 108: 98: 4509: 4477: 4266: 4050: 4042: 3894: 3869: 3673: 3548: 3072: 3013: 2893: 2625: 2353: 1972: 1931: 1535: 1386: 1246: 1211: 1171: 1151: 1111: 1046: 994: 816: 780: 425: 296: 249: 224: 113: 103: 1090:
of interconnections in the communications subsystem yield several improvements over traditional
1302:
platform services to enable advanced features in modern heterogeneous applications on a single
1134:
in comparison with previous communication architectures (e.g., dedicated point-to-point signal
4353: 4003: 3970: 3886: 3818: 3719: 3709: 3699: 3630: 3625: 3620: 3543: 3378: 3338: 2971: 2921: 2871: 2847: 2729: 2669: 2664: 2546: 2462: 2183: 1960: 1950: 1919: 1909: 1892: 1882: 1865: 1855: 1808: 1612: 1602: 1523: 1513: 1478: 1283: 1061: 1043: 998: 856:
In 2000s, researchers had started to propose a type of on-chip interconnection in the form of
593: 209: 118: 93: 2039: 4487: 4401: 4329: 4173: 4106: 3947: 3854: 3808: 3615: 3610: 3605: 3600: 3595: 3585: 3455: 3422: 3333: 3328: 3237: 3089: 3084: 3067: 3055: 2994: 2558: 2536: 2422: 2400: 2318: 2255: 2222: 2079: 1999: 1757: 1568: 1470: 1396: 1363: 1291: 1222:
infrastructure. In such instances, hardware QoS logic enables the service provider to make
1179: 1119: 869: 857: 850: 831: 820: 796: 792: 788: 749: 706: 352: 341: 239: 199: 183: 1655:"Balancing On-Chip Network Latency in Multi-Application Mapping for Chip-Multiprocessors". 4521: 4516: 4087: 4072: 4020: 3924: 3899: 3736: 3729: 3580: 3575: 3570: 3509: 3417: 3407: 3129: 2964: 2916: 2679: 2563: 2531: 2432: 2427: 2348: 2240: 2166: 2122: 2117: 1732: 1676: 1219: 1139: 1095: 1091: 861: 843: 839: 812: 804: 588: 369: 244: 153: 88: 42: 4504: 4456: 4319: 4198: 4032: 4015: 4008: 3904: 3761: 3498: 3412: 3343: 2926: 2888: 2837: 2832: 2827: 2541: 2365: 2260: 2127: 2094: 1206: 1143: 1083: 1077: 936: 772: 598: 404: 379: 374: 348: 337: 214: 178: 173: 133: 71: 955: 892: 4536: 3993: 3909: 2949: 2931: 2724: 2417: 1035: 835: 557: 462: 447: 389: 138: 128: 1162: 4203: 4141: 3957: 3934: 3746: 3467: 2405: 940: 502: 399: 254: 1838: 1726: 1198:
are able to achieve sub-millisecond real-time computing without special hardware.
3988: 3952: 3663: 3635: 3493: 3348: 1700: 1131: 1065: 872:
of complex SoCs compared to other communication subsystem designs. They are an
865: 55: 2007: 1904:
Giorgios Dimitrakopoulos; Anastasios Psarras; Ioannis Seitanidis (2014-08-27).
1822: 1765: 1282:
An interconnect processing unit (IPU) is an on-chip communication network with
4304: 3874: 3864: 3859: 3841: 3741: 3714: 2976: 2809: 2779: 2499: 2003: 1761: 1556: 1462: 1183: 1175: 1127: 824: 437: 394: 384: 1964: 1923: 1896: 1869: 1616: 1527: 1474: 4436: 3965: 3962: 3704: 2774: 2752: 1214:(QoS) is to support multiple concurrent users sharing resources of a single 1147: 1115: 1054: 877: 784: 602: 158: 1779: 4441: 4379: 3980: 2852: 2799: 2271: 2048: 1287: 1253: 1080:
for a discussion of wiring requirements for point-to-point connections).
1038:. For large designs, in particular, this has several limitations from a 987: 4214: 2027:
NoCS 2007 - The 1st ACM/IEEE International Symposium on Networks-on-Chip
1034:
connections, with one wire dedicated to each signal. This results in a
2789: 2747: 2104: 1315: 1191: 1187: 1107: 800: 1906:
Microarchitecture of Network-on-Chip Routers: A Designer's Perspective
1833: 1238:
International Symposium on Networks-on-Chip was held in April 2008 at
4346: 4092: 2804: 2769: 2734: 1572: 1463:"A generic architecture for on-chip packet-switched interconnections" 2032:
NoCS 2008 - The 2nd IEEE International Symposium on Networks-on-Chip
1945:
Natalie Enright Jerger; Tushar Krishna; Li-Shiuan Peh (2017-06-19).
1064:, as signal propagation in wires across the chip requires multiple 923:
NoCs can span synchronous and asynchronous clock domains, known as
17: 3262: 2794: 2764: 1852:
Network-on-chip: the Next Generation of System-on-Chip Integration
1599:
Network-on-chip: the Next Generation of System-on-Chip Integration
1161: 1877:
Sheng Ma; Libo Huang; Mingche Lai; Wei Shi; Zhiying Wang (2014).
1106:
The wires in the links of the network-on-chip are shared by many
1049:
in the number of interconnections. The wires occupy much of the
4126: 3274: 3194: 2784: 2026: 1669: 1331: 1135: 1060:
technology, interconnects dominate both performance and dynamic
1057: 4218: 2275: 2052: 2031: 1879:
Networks-on-Chip: From Implementations to Programming Paradigms
2714: 2704: 2021: 1420: 1290:
components which jointly implement key functions of different
1195: 950: 887: 730: 1249:
and devices comprising an optical network on a chip (ONoC).
1126:
keeps growing, a NoC provides enhanced performance (such as
1411: 1402: 1174:(QoS), namely achieve the various requirements in terms of 721: 712: 27:
Electronic communication subsystem on an integrated circuit
1369: 1360:
This article uses the convention that "NoC" is pronounced
876:, with projections for large growth in the near future as 755: 1632:"Network-on-chip (NoC) interconnect topologies explained" 939:
or functional unit on the System-on-Chip to have its own
1826: 967: 904: 860:
networks in order to address the scalability issues of
1601:(1st ed.). Boca Raton, FL: CRC Press. p. 3. 1294:
programming models through a set of communication and
799:). The modules on the IC are typically semiconductor 1469:. Paris, France: IEEE Comput. Soc. pp. 250–256. 1429: 1417: 1408: 1405: 1399: 1381: 1372: 1366: 1030:
Traditionally, ICs have been designed with dedicated
767: 758: 752: 739: 727: 718: 715: 709: 853:, many of which are still experimental as of 2018. 4470: 4417: 4367: 4280: 4252: 4156: 4105: 4041: 3979: 3933: 3885: 3840: 3760: 3687: 3656: 3561: 3482: 3446: 3400: 3300: 3226: 3160: 3110: 3021: 3012: 2985: 2940: 2907: 2879: 2870: 2690: 2593: 2582: 2453: 2309: 2205: 2176: 2141: 2087: 1414: 1118:in the NoC can operate simultaneously on different 724: 838:and brings notable improvements over conventional 1170:Some researchers think that NoCs need to support 830:NoC technology applies the theory and methods of 1881:(1st ed.). Amsterdam, NL: Morgan Kaufmann. 1701:"Inter/Intra-Chip Optical Network Bibliography-" 1385:. Therefore, it uses the convention "a" for the 1850:Kundu, Santanu; Chattopadhyay, Santanu (2014). 1839:http://www.sigda.org/newsletter/2006/060415.txt 1597:Kundu, Santanu; Chattopadhyay, Santanu (2014). 1150:must be designed in such a way that they offer 1986:Marzieh Lenjani; Mahmoud Reza Hashemi (2014). 1744:Marzieh Lenjani; Mahmoud Reza Hashemi (2014). 4230: 2287: 2064: 997:(SFNs) to limit the number, length, area and 673: 8: 1154:and can hence utilize the potential of NoC. 3292:Computer performance by orders of magnitude 1854:(1st ed.). Boca Raton, FL: CRC Press. 1555:Benini, L.; De Micheli, G. (January 2002). 880:computer architectures become more common. 4237: 4223: 4215: 3757: 3397: 3018: 2876: 2590: 2294: 2280: 2272: 2071: 2057: 2049: 1977:: CS1 maint: location missing publisher ( 1936:: CS1 maint: location missing publisher ( 1337:Globally asynchronous, locally synchronous 1256:— named wireless network on chip (WiNoC). 1245:Research has been conducted on integrated 933:globally asynchronous, locally synchronous 680: 666: 491: 275: 29: 1393:NoC"). Other sources may pronounce it as 935:electronics architectures, allowing each 1949:(2nd ed.). San Rafael, California. 1557:"Networks on chips: a new SoC paradigm" 1453: 1353: 614: 580: 494: 485: 416: 361: 278: 267: 191: 67: 41: 2036:Jean-Jacques Lecler, Gilles Baillieu, 1992:IET Computers & Digital Techniques 1970: 1929: 1750:IET Computers & Digital Techniques 1533: 803:schematizing various functions of the 7: 3263:Floating-point operations per second 1837:The original text can be found at 1461:Guerrier, P.; Greiner, A. (2000). 1122:. Therefore, as the complexity of 986:NoC architectures typically model 25: 4315:Hardware random number generation 849:. Networks-on-chip come in many 4189:Semiconductor device fabrication 1395: 1362: 1201:This may indicate that for many 1076:to accrue on the circuit. (See 954: 891: 748: 705: 54: 4164:History of general-purpose CPUs 2391:Nondeterministic Turing machine 1790:from the original on 2017-12-25 1707:from the original on 2015-09-23 1579:from the original on 2022-10-22 1491:from the original on 2022-10-22 2344:Deterministic finite automaton 1908:(1st ed.). New York, NY. 1630:Staff, E. D. N. (2023-07-26). 1: 3135:Simultaneous and heterogenous 2040:doi:10.1007/s10617-011-9075-5 1689:On-Chip Networks Bibliography 1001:of interconnection wires and 4548:Electronic design automation 3819:Integrated memory controller 3801:Translation lookaside buffer 3000:Memory dependence prediction 2443:Random-access stored program 2396:Probabilistic Turing machine 1321:Electronic design automation 1278:Interconnect processing unit 815:. The network on chip is a 3275:Synaptic updates per second 1102:Parallelism and scalability 868:of systems-on-chip and the 847:communication architectures 787:"), most typically between 4604: 3679:Heterogeneous architecture 2601:Orthogonal instruction set 2371:Alternating Turing machine 2359:Quantum cellular automaton 1296:synchronization primitives 1234:, in May 2007. The second 1142:, or segmented buses with 1022:and performance achieved. 4310:Digital signal processing 4169:Microprocessor chronology 4132:Dynamic frequency scaling 3287:Cache performance metrics 2022:DATE 2006 workshop on NoC 2004:10.1049/iet-cdt.2011.0066 1762:10.1049/iet-cdt.2011.0066 1327:Integrated circuit design 1114:is achieved, because all 1074:resistance and inductance 1068:. This also allows more 807:, and are designed to be 533:Exponential random (ERGM) 200:Informational (computing) 4262:Universal Turing machine 4184:Hardware security module 3527:Digital signal processor 3504:Graphics processing unit 3316:Graphics processing unit 2235:Digital signal processor 2112:Graphics processing unit 1475:10.1109/DATE.2000.840047 1042:viewpoint. It requires 777:communications subsystem 220:Scientific collaboration 4320:Artificial intelligence 4137:Dynamic voltage scaling 3920:Memory address register 3814:Branch target predictor 3778:Address generation unit 3521:Physics processing unit 3310:Central processing unit 3269:Transactions per second 3257:Instructions per second 3180:Array processing (SIMT) 2324:Stored-program computer 1389:corresponding to NoC (" 1166:WiNoC in the 3D-chiplet 649:Category:Network theory 169:Preferential attachment 4583:Communication circuits 4342:Custom hardware attack 3943:Hardwired control unit 3825:Memory management unit 3790:Memory management unit 3539:Secure cryptoprocessor 3533:Tensor Processing Unit 3515:Vision processing unit 3249:Cycles per instruction 3243:Instructions per cycle 3190:Associative processing 2881:Instruction pipelining 2303:Processor technologies 1540:: CS1 maint: others ( 1224:contractual guarantees 1167: 1036:dense network topology 538:Random geometric (RGG) 4563:Hardware acceleration 4272:Distributed computing 4246:Hardware acceleration 4026:Sum-addressed decoder 3772:Arithmetic logic unit 2899:Classic RISC pipeline 2853:Epiphany architecture 2700:Motorola 68000 series 2251:List of SoC suppliers 1825:'s column in the ACM 1178:, end-to-end delays, 1165: 1070:parasitic capacitance 931:logic. NoCs support 925:clock domain crossing 654:Category:Graph theory 4375:High-level synthesis 4147:Performance per watt 3725:replacement policies 3391:Package on a package 3281:Performance per watt 3185:Pipelined processing 2955:Tomasulo's algorithm 2760:Clipper architecture 2616:Application-specific 2329:Finite-state machine 2196:Package on a package 2010:on December 9, 2018. 1768:on December 9, 2018. 1342:Network architecture 1240:Newcastle University 1232:Princeton University 991:small-world networks 823:network between SoC 4573:Computer networking 4553:Integrated circuits 4500:Digital electronics 4452:In-memory computing 4432:Transport triggered 4179:Digital electronics 3832:Instruction decoder 3784:Floating-point unit 3438:Soft microprocessor 3385:System in a package 2960:Reservation station 2490:Transport-triggered 2190:System in a package 1436:and therefore use " 1216:chip multiprocessor 995:scale-free networks 927:, or use unclocked 874:emerging technology 832:computer networking 458:Degree distribution 109:Community structure 4578:Parallel computing 4510:Hardware emulation 4478:Programmable logic 4267:Parallel computing 4051:Integrated circuit 3895:Processor register 3549:Baseband processor 2894:Operand forwarding 2354:Cellular automaton 2155:Multiprocessor SoC 1731:2019-07-17 at the 1675:2008-09-01 at the 1387:indefinite article 1247:optical waveguides 1212:quality of service 1172:quality of service 1168: 1124:integrated systems 1110:. A high level of 966:. You can help by 903:. You can help by 851:network topologies 781:integrated circuit 642:Network scientists 568:Soft configuration 4543:Network on a chip 4530: 4529: 4407:Network on a chip 4212: 4211: 4101: 4100: 3720:Instruction cache 3710:Scratchpad memory 3557: 3556: 3544:Network processor 3473:Network on a chip 3428:Ultra-low-voltage 3379:Multi-chip module 3222: 3221: 3008: 3007: 2995:Branch prediction 2972:Register renaming 2866: 2865: 2848:VISC architecture 2670:Quantum computing 2665:VISC architecture 2547:Secondary storage 2463:Microarchitecture 2423:Register machines 2269: 2268: 2184:Multi-chip module 2149:Network on a chip 1956:978-1-62705-996-1 1915:978-1-4614-4301-8 1888:978-0-12-801178-2 1861:978-1-4665-6527-2 1823:Avinoam Kolodny's 1813:978-1-4200-4471-3 1608:978-1-4665-6527-2 1484:978-0-7695-0537-4 1152:large parallelism 1062:power dissipation 1020:network bandwidth 999:power consumption 984: 983: 921: 920: 694:network on a chip 690: 689: 610: 609: 518:Bianconi–BarabĂĄsi 412: 411: 230:Artificial neural 205:Telecommunication 16:(Redirected from 4595: 4558:System on a chip 4522:Embedded systems 4402:System on a chip 4239: 4232: 4225: 4216: 4174:Processor design 4066:Power management 3948:Instruction unit 3809:Branch predictor 3758: 3456:System on a chip 3398: 3238:Transistor count 3162:Flynn's taxonomy 3019: 2877: 2680:Addressing modes 2591: 2537:Memory hierarchy 2401:Hypercomputation 2319:Abstract machine 2296: 2289: 2282: 2273: 2256:Mobile computing 2241:Embedded systems 2161:Programmable SoC 2080:System on a chip 2073: 2066: 2059: 2050: 2011: 2006:. Archived from 1982: 1976: 1968: 1947:On-chip Networks 1941: 1935: 1927: 1900: 1873: 1815: 1805: 1799: 1798: 1796: 1795: 1776: 1770: 1769: 1764:. Archived from 1741: 1735: 1722: 1716: 1715: 1713: 1712: 1697: 1691: 1686: 1680: 1667: 1661: 1660: 1652: 1646: 1645: 1643: 1642: 1627: 1621: 1620: 1594: 1588: 1587: 1585: 1584: 1573:10.1109/2.976921 1552: 1546: 1545: 1539: 1531: 1506: 1500: 1499: 1497: 1496: 1458: 1441: 1433: 1427: 1426: 1423: 1422: 1419: 1416: 1413: 1410: 1407: 1404: 1401: 1379: 1378: 1375: 1374: 1371: 1368: 1358: 1158:Current research 1098:-based systems. 1051:area of the chip 979: 976: 958: 951: 916: 913: 895: 888: 870:power efficiency 858:packet switching 821:packet switching 811:in the sense of 793:system on a chip 765: 764: 761: 760: 757: 754: 743: 737: 736: 733: 732: 729: 726: 723: 720: 717: 714: 711: 682: 675: 668: 553:Stochastic block 543:Hyperbolic (HGN) 492: 355: 344: 276: 184:Social influence 58: 30: 21: 4603: 4602: 4598: 4597: 4596: 4594: 4593: 4592: 4533: 4532: 4531: 4526: 4517:Logic synthesis 4466: 4413: 4368:Implementations 4363: 4276: 4248: 4243: 4213: 4208: 4194:Tick–tock model 4152: 4108: 4097: 4037: 4021:Address decoder 3975: 3929: 3925:Program counter 3900:Status register 3881: 3836: 3796:Load–store unit 3763: 3756: 3683: 3652: 3553: 3510:Image processor 3485: 3478: 3448: 3442: 3418:Microcontroller 3408:Embedded system 3396: 3296: 3229: 3218: 3156: 3106: 3004: 2981: 2965:Re-order buffer 2936: 2917:Data dependency 2903: 2862: 2692: 2686: 2585: 2584:Instruction set 2578: 2564:Multiprocessing 2532:Cache hierarchy 2525:Register/memory 2449: 2349:Queue automaton 2305: 2300: 2270: 2265: 2201: 2172: 2167:Microcontroller 2137: 2123:Media processor 2118:Image processor 2083: 2077: 2018: 1985: 1969: 1957: 1944: 1928: 1916: 1903: 1889: 1876: 1862: 1849: 1846: 1844:Further reading 1836: 1819: 1818: 1806: 1802: 1793: 1791: 1778: 1777: 1773: 1743: 1742: 1738: 1733:Wayback Machine 1723: 1719: 1710: 1708: 1699: 1698: 1694: 1687: 1683: 1677:Wayback Machine 1668: 1664: 1654: 1653: 1649: 1640: 1638: 1629: 1628: 1624: 1609: 1596: 1595: 1591: 1582: 1580: 1554: 1553: 1549: 1532: 1520: 1508: 1507: 1503: 1494: 1492: 1485: 1460: 1459: 1455: 1450: 1445: 1444: 1431: 1398: 1394: 1365: 1361: 1359: 1355: 1350: 1312: 1280: 1271: 1262: 1220:cloud computing 1160: 1104: 1040:physical design 1028: 1011: 980: 974: 971: 964:needs expansion 949: 917: 911: 908: 901:needs expansion 886: 813:network science 805:computer system 751: 747: 741: 708: 704: 698:network-on-chip 686: 624: 589:Boolean network 563:Maximum entropy 513:BarabĂĄsi–Albert 430: 347: 336: 124:Controllability 89:Complex network 76: 63: 62: 61: 60: 59: 43:Network science 28: 23: 22: 15: 12: 11: 5: 4601: 4599: 4591: 4590: 4585: 4580: 4575: 4570: 4568:Network theory 4565: 4560: 4555: 4550: 4545: 4535: 4534: 4528: 4527: 4525: 4524: 4519: 4514: 4513: 4512: 4505:Virtualization 4502: 4497: 4496: 4495: 4490: 4480: 4474: 4472: 4468: 4467: 4465: 4464: 4459: 4457:Systolic array 4454: 4449: 4444: 4439: 4434: 4429: 4423: 4421: 4415: 4414: 4412: 4411: 4410: 4409: 4399: 4394: 4389: 4384: 4383: 4382: 4371: 4369: 4365: 4364: 4362: 4361: 4356: 4351: 4350: 4349: 4339: 4337:Machine vision 4334: 4333: 4332: 4322: 4317: 4312: 4307: 4302: 4301: 4300: 4295: 4284: 4282: 4278: 4277: 4275: 4274: 4269: 4264: 4258: 4256: 4250: 4249: 4244: 4242: 4241: 4234: 4227: 4219: 4210: 4209: 4207: 4206: 4201: 4199:Pin grid array 4196: 4191: 4186: 4181: 4176: 4171: 4166: 4160: 4158: 4154: 4153: 4151: 4150: 4144: 4139: 4134: 4129: 4124: 4119: 4113: 4111: 4103: 4102: 4099: 4098: 4096: 4095: 4090: 4085: 4080: 4075: 4070: 4069: 4068: 4063: 4058: 4047: 4045: 4039: 4038: 4036: 4035: 4033:Barrel shifter 4030: 4029: 4028: 4023: 4016:Binary decoder 4013: 4012: 4011: 4001: 3996: 3991: 3985: 3983: 3977: 3976: 3974: 3973: 3968: 3960: 3955: 3950: 3945: 3939: 3937: 3931: 3930: 3928: 3927: 3922: 3917: 3912: 3907: 3905:Stack register 3902: 3897: 3891: 3889: 3883: 3882: 3880: 3879: 3878: 3877: 3872: 3862: 3857: 3852: 3846: 3844: 3838: 3837: 3835: 3834: 3829: 3828: 3827: 3816: 3811: 3806: 3805: 3804: 3798: 3787: 3781: 3775: 3768: 3766: 3755: 3754: 3749: 3744: 3739: 3734: 3733: 3732: 3727: 3722: 3717: 3712: 3707: 3697: 3691: 3689: 3685: 3684: 3682: 3681: 3676: 3671: 3666: 3660: 3658: 3654: 3653: 3651: 3650: 3649: 3648: 3638: 3633: 3628: 3623: 3618: 3613: 3608: 3603: 3598: 3593: 3588: 3583: 3578: 3573: 3567: 3565: 3559: 3558: 3555: 3554: 3552: 3551: 3546: 3541: 3536: 3530: 3524: 3518: 3512: 3507: 3501: 3499:AI accelerator 3496: 3490: 3488: 3480: 3479: 3477: 3476: 3470: 3465: 3462:Multiprocessor 3459: 3452: 3450: 3444: 3443: 3441: 3440: 3435: 3430: 3425: 3420: 3415: 3413:Microprocessor 3410: 3404: 3402: 3401:By application 3395: 3394: 3388: 3382: 3376: 3371: 3366: 3361: 3356: 3351: 3346: 3344:Tile processor 3341: 3336: 3331: 3326: 3325: 3324: 3313: 3306: 3304: 3298: 3297: 3295: 3294: 3289: 3284: 3278: 3272: 3266: 3260: 3254: 3253: 3252: 3240: 3234: 3232: 3224: 3223: 3220: 3219: 3217: 3216: 3215: 3214: 3204: 3199: 3198: 3197: 3192: 3187: 3182: 3172: 3166: 3164: 3158: 3157: 3155: 3154: 3149: 3144: 3139: 3138: 3137: 3132: 3130:Hyperthreading 3122: 3116: 3114: 3112:Multithreading 3108: 3107: 3105: 3104: 3099: 3094: 3093: 3092: 3082: 3081: 3080: 3075: 3065: 3064: 3063: 3058: 3048: 3043: 3042: 3041: 3036: 3025: 3023: 3016: 3010: 3009: 3006: 3005: 3003: 3002: 2997: 2991: 2989: 2983: 2982: 2980: 2979: 2974: 2969: 2968: 2967: 2962: 2952: 2946: 2944: 2938: 2937: 2935: 2934: 2929: 2924: 2919: 2913: 2911: 2905: 2904: 2902: 2901: 2896: 2891: 2889:Pipeline stall 2885: 2883: 2874: 2868: 2867: 2864: 2863: 2861: 2860: 2855: 2850: 2845: 2842: 2841: 2840: 2838:z/Architecture 2835: 2830: 2825: 2817: 2812: 2807: 2802: 2797: 2792: 2787: 2782: 2777: 2772: 2767: 2762: 2757: 2756: 2755: 2750: 2745: 2737: 2732: 2727: 2722: 2717: 2712: 2707: 2702: 2696: 2694: 2688: 2687: 2685: 2684: 2683: 2682: 2672: 2667: 2662: 2657: 2652: 2647: 2642: 2641: 2640: 2630: 2629: 2628: 2618: 2613: 2608: 2603: 2597: 2595: 2588: 2580: 2579: 2577: 2576: 2571: 2566: 2561: 2556: 2551: 2550: 2549: 2544: 2542:Virtual memory 2534: 2529: 2528: 2527: 2522: 2517: 2512: 2502: 2497: 2492: 2487: 2482: 2481: 2480: 2470: 2465: 2459: 2457: 2451: 2450: 2448: 2447: 2446: 2445: 2440: 2435: 2430: 2420: 2415: 2410: 2409: 2408: 2403: 2398: 2393: 2388: 2383: 2378: 2373: 2366:Turing machine 2363: 2362: 2361: 2356: 2351: 2346: 2341: 2336: 2326: 2321: 2315: 2313: 2307: 2306: 2301: 2299: 2298: 2291: 2284: 2276: 2267: 2266: 2264: 2263: 2261:Unified memory 2258: 2253: 2248: 2243: 2238: 2232: 2227: 2226: 2225: 2220: 2209: 2207: 2203: 2202: 2200: 2199: 2193: 2187: 2180: 2178: 2174: 2173: 2171: 2170: 2164: 2158: 2152: 2145: 2143: 2139: 2138: 2136: 2135: 2130: 2128:AI accelerator 2125: 2120: 2115: 2109: 2108: 2107: 2102: 2095:Microprocessor 2091: 2089: 2085: 2084: 2078: 2076: 2075: 2068: 2061: 2053: 2047: 2046: 2034: 2029: 2024: 2017: 2016:External links 2014: 2013: 2012: 1983: 1955: 1942: 1914: 1901: 1887: 1874: 1860: 1845: 1842: 1817: 1816: 1800: 1784:www.ece.ust.hk 1771: 1736: 1717: 1692: 1681: 1662: 1647: 1622: 1607: 1589: 1547: 1518: 1501: 1483: 1452: 1451: 1449: 1446: 1443: 1442: 1352: 1351: 1349: 1346: 1345: 1344: 1339: 1334: 1329: 1324: 1318: 1311: 1308: 1292:system-on-chip 1279: 1276: 1270: 1267: 1261: 1258: 1207:hardware logic 1159: 1156: 1103: 1100: 1032:point-to-point 1027: 1024: 1010: 1007: 1003:point-to-point 982: 981: 961: 959: 948: 945: 937:processor core 919: 918: 898: 896: 885: 882: 688: 687: 685: 684: 677: 670: 662: 659: 658: 657: 656: 651: 645: 644: 639: 634: 626: 625: 623: 622: 619: 615: 612: 611: 608: 607: 606: 605: 596: 591: 583: 582: 578: 577: 576: 575: 570: 565: 560: 555: 550: 545: 540: 535: 530: 528:Watts–Strogatz 525: 520: 515: 510: 505: 497: 496: 488: 487: 483: 482: 481: 480: 475: 470: 465: 460: 455: 450: 445: 440: 432: 431: 429: 428: 423: 417: 414: 413: 410: 409: 408: 407: 402: 397: 392: 387: 382: 377: 372: 364: 363: 359: 358: 357: 356: 349:Incidence list 345: 338:Adjacency list 334: 329: 324: 319: 314: 309: 307:Data structure 304: 299: 294: 289: 281: 280: 272: 271: 265: 264: 263: 262: 257: 252: 247: 242: 237: 235:Interdependent 232: 227: 222: 217: 212: 207: 202: 194: 193: 189: 188: 187: 186: 181: 179:Network effect 176: 174:Balance theory 171: 166: 161: 156: 151: 146: 141: 136: 134:Social capital 131: 126: 121: 116: 111: 106: 101: 96: 91: 86: 78: 77: 75: 74: 68: 65: 64: 53: 52: 51: 50: 49: 46: 45: 39: 38: 26: 24: 14: 13: 10: 9: 6: 4: 3: 2: 4600: 4589: 4586: 4584: 4581: 4579: 4576: 4574: 4571: 4569: 4566: 4564: 4561: 4559: 4556: 4554: 4551: 4549: 4546: 4544: 4541: 4540: 4538: 4523: 4520: 4518: 4515: 4511: 4508: 4507: 4506: 4503: 4501: 4498: 4494: 4491: 4489: 4486: 4485: 4484: 4481: 4479: 4476: 4475: 4473: 4469: 4463: 4460: 4458: 4455: 4453: 4450: 4448: 4447:Heterogeneous 4445: 4443: 4440: 4438: 4435: 4433: 4430: 4428: 4425: 4424: 4422: 4420: 4419:Architectures 4416: 4408: 4405: 4404: 4403: 4400: 4398: 4395: 4393: 4390: 4388: 4385: 4381: 4378: 4377: 4376: 4373: 4372: 4370: 4366: 4360: 4357: 4355: 4352: 4348: 4345: 4344: 4343: 4340: 4338: 4335: 4331: 4328: 4327: 4326: 4323: 4321: 4318: 4316: 4313: 4311: 4308: 4306: 4303: 4299: 4296: 4294: 4291: 4290: 4289: 4286: 4285: 4283: 4279: 4273: 4270: 4268: 4265: 4263: 4260: 4259: 4257: 4255: 4251: 4247: 4240: 4235: 4233: 4228: 4226: 4221: 4220: 4217: 4205: 4202: 4200: 4197: 4195: 4192: 4190: 4187: 4185: 4182: 4180: 4177: 4175: 4172: 4170: 4167: 4165: 4162: 4161: 4159: 4155: 4148: 4145: 4143: 4140: 4138: 4135: 4133: 4130: 4128: 4125: 4123: 4120: 4118: 4115: 4114: 4112: 4110: 4104: 4094: 4091: 4089: 4086: 4084: 4081: 4079: 4076: 4074: 4071: 4067: 4064: 4062: 4059: 4057: 4054: 4053: 4052: 4049: 4048: 4046: 4044: 4040: 4034: 4031: 4027: 4024: 4022: 4019: 4018: 4017: 4014: 4010: 4007: 4006: 4005: 4002: 4000: 3997: 3995: 3994:Demultiplexer 3992: 3990: 3987: 3986: 3984: 3982: 3978: 3972: 3969: 3967: 3964: 3961: 3959: 3956: 3954: 3951: 3949: 3946: 3944: 3941: 3940: 3938: 3936: 3932: 3926: 3923: 3921: 3918: 3916: 3915:Memory buffer 3913: 3911: 3910:Register file 3908: 3906: 3903: 3901: 3898: 3896: 3893: 3892: 3890: 3888: 3884: 3876: 3873: 3871: 3868: 3867: 3866: 3863: 3861: 3858: 3856: 3853: 3851: 3850:Combinational 3848: 3847: 3845: 3843: 3839: 3833: 3830: 3826: 3823: 3822: 3820: 3817: 3815: 3812: 3810: 3807: 3802: 3799: 3797: 3794: 3793: 3791: 3788: 3785: 3782: 3779: 3776: 3773: 3770: 3769: 3767: 3765: 3759: 3753: 3750: 3748: 3745: 3743: 3740: 3738: 3735: 3731: 3728: 3726: 3723: 3721: 3718: 3716: 3713: 3711: 3708: 3706: 3703: 3702: 3701: 3698: 3696: 3693: 3692: 3690: 3686: 3680: 3677: 3675: 3672: 3670: 3667: 3665: 3662: 3661: 3659: 3655: 3647: 3644: 3643: 3642: 3639: 3637: 3634: 3632: 3629: 3627: 3624: 3622: 3619: 3617: 3614: 3612: 3609: 3607: 3604: 3602: 3599: 3597: 3594: 3592: 3589: 3587: 3584: 3582: 3579: 3577: 3574: 3572: 3569: 3568: 3566: 3564: 3560: 3550: 3547: 3545: 3542: 3540: 3537: 3534: 3531: 3528: 3525: 3522: 3519: 3516: 3513: 3511: 3508: 3505: 3502: 3500: 3497: 3495: 3492: 3491: 3489: 3487: 3481: 3474: 3471: 3469: 3466: 3463: 3460: 3457: 3454: 3453: 3451: 3445: 3439: 3436: 3434: 3431: 3429: 3426: 3424: 3421: 3419: 3416: 3414: 3411: 3409: 3406: 3405: 3403: 3399: 3392: 3389: 3386: 3383: 3380: 3377: 3375: 3372: 3370: 3367: 3365: 3362: 3360: 3357: 3355: 3352: 3350: 3347: 3345: 3342: 3340: 3337: 3335: 3332: 3330: 3327: 3323: 3320: 3319: 3317: 3314: 3311: 3308: 3307: 3305: 3303: 3299: 3293: 3290: 3288: 3285: 3282: 3279: 3276: 3273: 3270: 3267: 3264: 3261: 3258: 3255: 3250: 3247: 3246: 3244: 3241: 3239: 3236: 3235: 3233: 3231: 3225: 3213: 3210: 3209: 3208: 3205: 3203: 3200: 3196: 3193: 3191: 3188: 3186: 3183: 3181: 3178: 3177: 3176: 3173: 3171: 3168: 3167: 3165: 3163: 3159: 3153: 3150: 3148: 3145: 3143: 3140: 3136: 3133: 3131: 3128: 3127: 3126: 3123: 3121: 3118: 3117: 3115: 3113: 3109: 3103: 3100: 3098: 3095: 3091: 3088: 3087: 3086: 3083: 3079: 3076: 3074: 3071: 3070: 3069: 3066: 3062: 3059: 3057: 3054: 3053: 3052: 3049: 3047: 3044: 3040: 3037: 3035: 3032: 3031: 3030: 3027: 3026: 3024: 3020: 3017: 3015: 3011: 3001: 2998: 2996: 2993: 2992: 2990: 2988: 2984: 2978: 2975: 2973: 2970: 2966: 2963: 2961: 2958: 2957: 2956: 2953: 2951: 2950:Scoreboarding 2948: 2947: 2945: 2943: 2939: 2933: 2932:False sharing 2930: 2928: 2925: 2923: 2920: 2918: 2915: 2914: 2912: 2910: 2906: 2900: 2897: 2895: 2892: 2890: 2887: 2886: 2884: 2882: 2878: 2875: 2873: 2869: 2859: 2856: 2854: 2851: 2849: 2846: 2843: 2839: 2836: 2834: 2831: 2829: 2826: 2824: 2821: 2820: 2818: 2816: 2813: 2811: 2808: 2806: 2803: 2801: 2798: 2796: 2793: 2791: 2788: 2786: 2783: 2781: 2778: 2776: 2773: 2771: 2768: 2766: 2763: 2761: 2758: 2754: 2751: 2749: 2746: 2744: 2741: 2740: 2738: 2736: 2733: 2731: 2728: 2726: 2725:Stanford MIPS 2723: 2721: 2718: 2716: 2713: 2711: 2708: 2706: 2703: 2701: 2698: 2697: 2695: 2689: 2681: 2678: 2677: 2676: 2673: 2671: 2668: 2666: 2663: 2661: 2658: 2656: 2653: 2651: 2648: 2646: 2643: 2639: 2636: 2635: 2634: 2631: 2627: 2624: 2623: 2622: 2619: 2617: 2614: 2612: 2609: 2607: 2604: 2602: 2599: 2598: 2596: 2592: 2589: 2587: 2586:architectures 2581: 2575: 2572: 2570: 2567: 2565: 2562: 2560: 2557: 2555: 2554:Heterogeneous 2552: 2548: 2545: 2543: 2540: 2539: 2538: 2535: 2533: 2530: 2526: 2523: 2521: 2518: 2516: 2513: 2511: 2508: 2507: 2506: 2505:Memory access 2503: 2501: 2498: 2496: 2493: 2491: 2488: 2486: 2483: 2479: 2476: 2475: 2474: 2471: 2469: 2466: 2464: 2461: 2460: 2458: 2456: 2452: 2444: 2441: 2439: 2438:Random-access 2436: 2434: 2431: 2429: 2426: 2425: 2424: 2421: 2419: 2418:Stack machine 2416: 2414: 2411: 2407: 2404: 2402: 2399: 2397: 2394: 2392: 2389: 2387: 2384: 2382: 2379: 2377: 2374: 2372: 2369: 2368: 2367: 2364: 2360: 2357: 2355: 2352: 2350: 2347: 2345: 2342: 2340: 2337: 2335: 2334:with datapath 2332: 2331: 2330: 2327: 2325: 2322: 2320: 2317: 2316: 2314: 2312: 2308: 2304: 2297: 2292: 2290: 2285: 2283: 2278: 2277: 2274: 2262: 2259: 2257: 2254: 2252: 2249: 2247: 2244: 2242: 2239: 2236: 2233: 2231: 2228: 2224: 2221: 2219: 2216: 2215: 2214: 2211: 2210: 2208: 2204: 2197: 2194: 2191: 2188: 2185: 2182: 2181: 2179: 2175: 2168: 2165: 2162: 2159: 2156: 2153: 2150: 2147: 2146: 2144: 2140: 2134: 2131: 2129: 2126: 2124: 2121: 2119: 2116: 2113: 2110: 2106: 2103: 2101: 2098: 2097: 2096: 2093: 2092: 2090: 2086: 2081: 2074: 2069: 2067: 2062: 2060: 2055: 2054: 2051: 2045: 2044: 2041: 2035: 2033: 2030: 2028: 2025: 2023: 2020: 2019: 2015: 2009: 2005: 2001: 1997: 1993: 1989: 1984: 1980: 1974: 1966: 1962: 1958: 1952: 1948: 1943: 1939: 1933: 1925: 1921: 1917: 1911: 1907: 1902: 1898: 1894: 1890: 1884: 1880: 1875: 1871: 1867: 1863: 1857: 1853: 1848: 1847: 1843: 1841: 1840: 1835: 1831: 1828: 1824: 1821:Adapted from 1814: 1810: 1804: 1801: 1789: 1785: 1781: 1780:"NoC traffic" 1775: 1772: 1767: 1763: 1759: 1755: 1751: 1747: 1740: 1737: 1734: 1730: 1727: 1721: 1718: 1706: 1702: 1696: 1693: 1690: 1685: 1682: 1678: 1674: 1671: 1666: 1663: 1658: 1651: 1648: 1637: 1633: 1626: 1623: 1618: 1614: 1610: 1604: 1600: 1593: 1590: 1578: 1574: 1570: 1566: 1562: 1558: 1551: 1548: 1543: 1537: 1529: 1525: 1521: 1519:1-58113-297-2 1515: 1511: 1505: 1502: 1490: 1486: 1480: 1476: 1472: 1468: 1464: 1457: 1454: 1447: 1439: 1435: 1434: 1425: 1392: 1388: 1384: 1383: 1377: 1357: 1354: 1347: 1343: 1340: 1338: 1335: 1333: 1330: 1328: 1325: 1322: 1319: 1317: 1314: 1313: 1309: 1307: 1305: 1301: 1297: 1293: 1289: 1285: 1277: 1275: 1268: 1266: 1260:Side benefits 1259: 1257: 1255: 1250: 1248: 1243: 1241: 1237: 1233: 1227: 1225: 1221: 1217: 1213: 1208: 1204: 1199: 1197: 1193: 1189: 1185: 1181: 1177: 1173: 1164: 1157: 1155: 1153: 1149: 1145: 1141: 1137: 1133: 1129: 1125: 1121: 1117: 1113: 1109: 1101: 1099: 1097: 1093: 1089: 1085: 1081: 1079: 1075: 1071: 1067: 1063: 1059: 1056: 1052: 1048: 1045: 1041: 1037: 1033: 1025: 1023: 1021: 1017: 1008: 1006: 1005:connections. 1004: 1000: 996: 992: 989: 978: 969: 965: 962:This section 960: 957: 953: 952: 947:Architectures 946: 944: 942: 938: 934: 930: 926: 915: 906: 902: 899:This section 897: 894: 890: 889: 883: 881: 879: 875: 871: 867: 863: 859: 854: 852: 848: 845: 841: 837: 836:communication 833: 828: 826: 822: 818: 814: 810: 806: 802: 798: 794: 790: 786: 782: 778: 774: 770: 769: 763: 745: 744: 735: 703: 699: 695: 683: 678: 676: 671: 669: 664: 663: 661: 660: 655: 652: 650: 647: 646: 643: 640: 638: 635: 633: 630: 629: 628: 627: 620: 617: 616: 613: 604: 600: 597: 595: 592: 590: 587: 586: 585: 584: 579: 574: 573:LFR Benchmark 571: 569: 566: 564: 561: 559: 558:Blockmodeling 556: 554: 551: 549: 546: 544: 541: 539: 536: 534: 531: 529: 526: 524: 523:Fitness model 521: 519: 516: 514: 511: 509: 506: 504: 501: 500: 499: 498: 493: 490: 489: 484: 479: 476: 474: 471: 469: 466: 464: 463:Assortativity 461: 459: 456: 454: 451: 449: 446: 444: 441: 439: 436: 435: 434: 433: 427: 424: 422: 419: 418: 415: 406: 403: 401: 398: 396: 393: 391: 388: 386: 383: 381: 378: 376: 373: 371: 368: 367: 366: 365: 360: 354: 350: 346: 343: 339: 335: 333: 330: 328: 325: 323: 320: 318: 315: 313: 310: 308: 305: 303: 300: 298: 295: 293: 290: 288: 285: 284: 283: 282: 277: 274: 273: 270: 266: 261: 258: 256: 253: 251: 248: 246: 243: 241: 238: 236: 233: 231: 228: 226: 223: 221: 218: 216: 213: 211: 208: 206: 203: 201: 198: 197: 196: 195: 192:Network types 190: 185: 182: 180: 177: 175: 172: 170: 167: 165: 162: 160: 157: 155: 152: 150: 147: 145: 142: 140: 139:Link analysis 137: 135: 132: 130: 129:Graph drawing 127: 125: 122: 120: 117: 115: 112: 110: 107: 105: 102: 100: 97: 95: 92: 90: 87: 85: 82: 81: 80: 79: 73: 70: 69: 66: 57: 48: 47: 44: 40: 36: 32: 31: 19: 4462:Neuromorphic 4406: 4325:Cryptography 4281:Applications 4204:Chip carrier 4142:Clock gating 4061:Mixed-signal 3958:Write buffer 3935:Control unit 3747:Clock signal 3486:accelerators 3472: 3468:Cypress PSoC 3125:Simultaneous 2942:Out-of-order 2574:Neuromorphic 2455:Architecture 2413:Belt machine 2406:Zeno machine 2339:Hierarchical 2177:Alternatives 2148: 2037: 2008:the original 1995: 1991: 1946: 1905: 1878: 1851: 1830:e-newsletter 1820: 1803: 1792:. Retrieved 1783: 1774: 1766:the original 1753: 1749: 1739: 1720: 1709:. Retrieved 1695: 1684: 1665: 1656: 1650: 1639:. Retrieved 1635: 1625: 1598: 1592: 1581:. Retrieved 1567:(1): 70–78. 1564: 1560: 1550: 1509: 1504: 1493:. Retrieved 1466: 1456: 1437: 1390: 1356: 1298:and provide 1281: 1272: 1263: 1251: 1244: 1228: 1218:in a public 1200: 1169: 1120:data packets 1105: 1082: 1066:clock cycles 1029: 1012: 985: 975:October 2018 972: 968:adding to it 963: 941:clock domain 929:asynchronous 922: 912:October 2018 909: 905:adding to it 900: 855: 829: 701: 697: 693: 691: 548:Hierarchical 503:Random graph 351: / 340: / 322:Neighborhood 259: 164:Transitivity 144:Optimization 3989:Multiplexer 3953:Data buffer 3664:Single-core 3636:bit slicing 3494:Coprocessor 3349:Coprocessor 3230:performance 3152:Cooperative 3142:Speculative 3102:Distributed 3061:Superscalar 3046:Instruction 3014:Parallelism 2987:Speculative 2819:System/3x0 2691:Instruction 2468:Von Neumann 2381:Post–Turing 2105:controllers 1834:Igor Markov 1659:. May 2014. 1132:scalability 1112:parallelism 1094:-based and 1078:Rent's rule 993:(SWNs) and 866:scalability 834:to on-chip 594:agent based 508:ErdƑs–RĂ©nyi 149:Reciprocity 114:Percolation 99:Small-world 4588:Modularity 4537:Categories 4493:chronology 4354:Networking 4109:management 4004:Multiplier 3865:Logic gate 3855:Sequential 3762:Functional 3742:Clock rate 3715:Data cache 3688:Components 3669:Multi-core 3657:Core count 3147:Preemptive 3051:Pipelining 3034:Bit-serial 2977:Wide-issue 2922:Structural 2844:Tilera ISA 2810:MicroBlaze 2780:ETRAX CRIS 2675:Comparison 2520:Load–store 2500:Endianness 2218:chronology 2088:Components 1794:2018-10-08 1711:2015-07-02 1641:2023-11-17 1583:2022-11-23 1495:2022-11-23 1448:References 1269:Benchmarks 1176:throughput 1148:algorithms 1128:throughput 1116:data links 621:Categories 478:Efficiency 473:Modularity 453:Clustering 438:Centrality 426:Algorithms 250:Dependency 225:Biological 104:Scale-free 4483:Processor 4437:Multicore 4043:Circuitry 3963:Microcode 3887:Registers 3730:coherence 3705:CPU cache 3563:Word size 3228:Processor 2872:Execution 2775:DEC Alpha 2753:Power ISA 2569:Cognitive 2376:Universal 2213:Processor 1998:: 30–48. 1973:cite book 1965:991871622 1932:cite book 1924:890132032 1897:894609116 1870:895661009 1756:: 30–48. 1670:NoCS 2007 1617:895661009 1536:cite book 1528:326240184 1300:low-level 1203:real-time 1184:deadlines 1138:, shared 1055:nanometer 1053:, and in 1047:quadratic 884:Structure 878:multicore 785:microchip 370:Bipartite 292:Component 210:Transport 159:Homophily 119:Evolution 94:Contagion 4442:Manycore 4427:Dataflow 4380:C to HDL 3981:Datapath 3674:Manycore 3646:variable 3484:Hardware 3120:Temporal 2800:OpenRISC 2495:Cellular 2485:Dataflow 2478:modified 1788:Archived 1729:Archived 1705:Archived 1679:website. 1673:Archived 1577:Archived 1561:Computer 1489:Archived 1310:See also 1288:software 1284:hardware 1254:chiplets 1180:fairness 1096:crossbar 1088:locality 1084:Sparsity 1026:Benefits 1009:Topology 844:crossbar 801:IP cores 637:Software 599:Epidemic 581:Dynamics 495:Topology 468:Distance 405:Weighted 380:Directed 375:Complete 279:Features 240:Semantic 35:a series 33:Part of 4471:Related 4298:DirectX 4157:Related 4088:Quantum 4078:Digital 4073:Boolean 3971:Counter 3870:Quantum 3631:512-bit 3626:256-bit 3621:128-bit 3464:(MPSoC) 3449:on chip 3447:Systems 3265:(FLOPS) 3078:Process 2927:Control 2909:Hazards 2795:Itanium 2790:Unicore 2748:PowerPC 2473:Harvard 2433:Pointer 2428:Counter 2386:Quantum 2206:Related 2157:(MPSoC) 1316:Arteris 1192:RTLinux 1188:VxWorks 1146:). The 1144:bridges 1108:signals 1016:latency 825:modules 819:-based 809:modular 789:modules 775:-based 773:network 771:) is a 421:Metrics 390:Labeled 260:on-Chip 245:Spatial 154:Closure 4488:design 4347:scrypt 4254:Theory 4093:Switch 4083:Analog 3821:(IMC) 3792:(MMU) 3641:others 3616:64-bit 3611:48-bit 3606:32-bit 3601:24-bit 3596:16-bit 3591:15-bit 3586:12-bit 3423:Mobile 3339:Stream 3334:Barrel 3329:Vector 3318:(GPU) 3277:(SUPS) 3245:(IPC) 3097:Memory 3090:Vector 3073:Thread 3056:Scalar 2858:Others 2805:RISC-V 2770:SuperH 2739:Power 2735:MIPS-X 2710:PDP-11 2559:Fabric 2311:Models 2223:design 2163:(PSoC) 1963:  1953:  1922:  1912:  1895:  1885:  1868:  1858:  1811:  1615:  1605:  1526:  1516:  1481:  1430:en-oh- 1182:, and 1130:) and 988:sparse 817:router 779:on an 740:en-oh- 632:Topics 486:Models 443:Degree 400:Random 353:matrix 342:matrix 332:Vertex 287:Clique 269:Graphs 215:Social 72:Theory 4305:Audio 4293:GPGPU 4149:(PPW) 4107:Power 3999:Adder 3875:Array 3842:Logic 3803:(TLB) 3786:(FPU) 3780:(AGU) 3774:(ALU) 3764:units 3700:Cache 3581:8-bit 3576:4-bit 3571:1-bit 3535:(TPU) 3529:(DSP) 3523:(PPU) 3517:(VPU) 3506:(GPU) 3475:(NoC) 3458:(SoC) 3393:(PoP) 3387:(SiP) 3381:(MCM) 3322:GPGPU 3312:(CPU) 3302:Types 3283:(PPW) 3271:(TPS) 3259:(IPS) 3251:(CPI) 3022:Level 2833:S/390 2828:S/370 2823:S/360 2765:SPARC 2743:POWER 2626:TRIPS 2594:Types 2237:(DSP) 2198:(PoP) 2192:(SiP) 2186:(MCM) 2169:(MCU) 2151:(NoC) 2142:Types 2114:(GPU) 2100:cores 2082:(SoC) 1827:SIGDA 1657:IPDPS 1440:NoC". 1348:Notes 1323:(EDA) 1140:buses 1136:wires 1044:power 791:in a 768:knock 618:Lists 448:Motif 395:Multi 385:Hyper 362:Types 302:Cycle 84:Graph 4397:CPLD 4392:ASIC 4387:FPGA 4359:Data 4127:ACPI 3860:Glue 3752:FIFO 3695:Core 3433:ASIP 3374:CPLD 3369:FPOA 3364:FPGA 3359:ASIC 3212:SPMD 3207:MIMD 3202:MISD 3195:SWAR 3175:SIMD 3170:SISD 3085:Data 3068:Task 3039:Word 2785:M32R 2730:MIPS 2693:sets 2660:ZISC 2655:NISC 2650:OISC 2645:MISC 2638:EPIC 2633:VLIW 2621:EDGE 2611:RISC 2606:CISC 2515:HUMA 2510:NUMA 2246:FPGA 2230:CPLD 2133:ASIC 1979:link 1961:OCLC 1951:ISBN 1938:link 1920:OCLC 1910:ISBN 1893:OCLC 1883:ISBN 1866:OCLC 1856:ISBN 1809:ISBN 1613:OCLC 1603:ISBN 1542:link 1524:OCLC 1514:ISBN 1479:ISBN 1382:nock 1332:CUDA 1286:and 1236:IEEE 1086:and 1058:CMOS 842:and 327:Path 317:Loop 312:Edge 255:Flow 4330:TLS 4288:GPU 4122:APM 4117:PMU 4009:CPU 3966:ROM 3737:Bus 3354:PAL 3029:Bit 2815:LMC 2720:ARM 2715:x86 2705:VAX 2000:doi 1832:by 1758:doi 1636:EDN 1569:doi 1471:doi 1432:SEE 1304:die 1196:QNX 1194:or 1092:bus 970:. 907:. 862:bus 840:bus 797:SoC 746:or 742:SEE 702:NoC 696:or 603:SIR 297:Cut 18:NoC 4539:: 4056:3D 1994:. 1990:. 1975:}} 1971:{{ 1959:. 1934:}} 1930:{{ 1918:. 1891:. 1864:. 1786:. 1782:. 1752:. 1748:. 1703:. 1634:. 1611:. 1575:. 1565:35 1563:. 1559:. 1538:}} 1534:{{ 1522:. 1487:. 1477:. 1465:. 1438:an 1421:iː 1412:oʊ 1306:. 1242:. 1190:, 1072:, 943:. 827:. 783:(" 731:iː 722:oʊ 692:A 37:on 4238:e 4231:t 4224:v 2295:e 2288:t 2281:v 2072:e 2065:t 2058:v 2002:: 1996:8 1981:) 1967:. 1940:) 1926:. 1899:. 1872:. 1797:. 1760:: 1754:8 1714:. 1644:. 1619:. 1586:. 1571:: 1544:) 1530:. 1498:. 1473:: 1424:/ 1418:s 1415:ˈ 1409:ˌ 1406:n 1403:ɛ 1400:ˌ 1397:/ 1391:a 1376:/ 1373:k 1370:ɒ 1367:n 1364:/ 977:) 973:( 914:) 910:( 795:( 762:/ 759:k 756:ɒ 753:n 750:/ 734:/ 728:s 725:ˈ 719:ˌ 716:n 713:ɛ 710:ˌ 707:/ 700:( 681:e 674:t 667:v 601:/ 20:)

Index

NoC
a series
Network science
Internet_map_1024.jpg
Theory
Graph
Complex network
Contagion
Small-world
Scale-free
Community structure
Percolation
Evolution
Controllability
Graph drawing
Social capital
Link analysis
Optimization
Reciprocity
Closure
Homophily
Transitivity
Preferential attachment
Balance theory
Network effect
Social influence
Informational (computing)
Telecommunication
Transport
Social

Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.

↑