Knowledge (XXG)

Depletion-load NMOS logic

Source đź“ť

397: 784: 31: 417:). Since the current in a device connected that way goes as the square of the voltage across the load, it provides poor pullup speed relative to its power consumption when pulled down. A resistor (with the current simply proportional to the voltage) would be better, and a current source (with the current fixed, independent of voltage) better yet. A 787:
An NMOS NAND gate with saturated enhancement-mode load device. The enhancement device can also be used with a more positive gate bias in a non-saturated configuration, which is more power efficient but requires a high gate voltage and a longer transistor. Neither is as power efficient or compact as
1117:
Shown by its mere mention in a large roundup article written by GE engineer Herman Schmid that appeared in the December, 1972 issue of IEEE Transactions on Manufacturing Technology. Although it cites Maitland’s 1970 article in Electronics, Schmid’s article does not discuss NMOS fabrication in detail
709:
CMOS circuits, which is due only to the transient power draw when the output state is changed and the p- and n-transistors thereby briefly conduct at the same time. However, this is a simplified view, and a more complete picture has to also include the fact that even purely static CMOS circuits have
135:
proposed demonstrated a working MOS device with their Bell Labs team in 1960. Their team included E. E. LaBate and E. I. Povilonis who fabricated the device; M. O. Thurston, L. A. D’Asaro, and J. R. Ligenza who developed the diffusion processes, and H. K. Gummel and R. Lindner who characterized the
213:
were faster than (p-channel) MOS transistors then used and were more reliable, but they also consumed much more power, required more area, and demanded a more complicated manufacturing process. MOS ICs were considered interesting but inadequate for supplanting the fast bipolar circuits in anything
343:(see below). Already by 1970, HP was making good enough nMOS ICs and had characterized it enough so that Dave Maitland was able to write an article about nMOS in the December, 1970 issue of Electronics magazine. However, NMOS remained uncommon in the rest of the semiconductor industry until 1973. 604:
process using design elements of the HMOS lines. One final version of the system was released, HMOS-IV. A significant advantage to the HMOS line was that each generation was deliberately designed to allow existing layouts to die-shrink with no major changes. Various techniques were introduced to
571:
HMOS continued to be improved and went through four distinct generations. According to Intel, HMOS II (1979) provided twice the density and four times the speed/power product over other typical contemporary depletion-load NMOS processes. This version was widely licensed by 3rd parties, including
408:
so that it can act as a logic switch. Since suitable resistors were hard to make, the logic gates used saturated loads; that is, to make the one type of transistor act as a load resistor, the transistor had to be turned always on by tying its gate to the power supply (the more negative rail for
61:) logic families that needed more than one different power supply voltage. Although manufacturing these integrated circuits required additional processing steps, improved switching speed and the elimination of the extra power supply made this logic family the preferred choice for many 322:
then started to develop NMOS IC technology to get the promising speed and easy interfacing for its calculator business. Tom Haswell at HP eventually solved many problems by using purer raw materials (especially aluminum for interconnects) and by adding a bias voltage to make the
762:
transition, resulting in a slower circuit. Depletion-load processes replace this transistor with a depletion-mode NMOS at a constant gate bias, with the gate tied directly to the source. This alternative type of transistor acts as a current source until the output approaches
800:
is also active. This results in high static power consumption. The amount of waste depends on the strength, or physical size, of the pull-up. Both (enhancement-mode) saturated-load and depletion-mode pull-up transistors use greatest power when the output is stable at
256:). This new type of pMOS transistor was 3–5 times as fast (per watt) as the aluminum-gate pMOS transistor, and it needed less area, had much lower leakage and higher reliability. The same year, Faggin also built the first IC using the new transistor type, the 664:, are manufactured using various CMOS processes with a range of different topologies employed. This means that, in order to enhance speed and save die area (transistors and wiring), high speed CMOS designs often employ other elements than just the 595:
The original HMOS process, later referred to as HMOS I, had a channel length of 3 microns, which was reduced to 2 for the HMOS II, and 1.5 for HMOS III. By the time HMOS III was introduced in 1982, Intel had begun a switch to their
688:
circuitry in order to construct the larger building blocks on the chip, such as latches, decoders, multiplexers, and so on, and evolved from the various dynamic methodologies developed for NMOS and PMOS circuits during the 1970s.
271:), which demonstrated a substantially improved performance over its metal-gate counterpart. In less than 10 years, the silicon gate MOS transistor replaced bipolar circuits as the main vehicle for complex digital ICs. 379:
calculators, contributed IC fabrication experience from their 4-kbit ROM project to help improve Intel DRAM’s reliability, operating-voltage, and temperature range. These efforts contributed to the heavily enhanced
1193: 354:
eventually served as a second source for these products and so became one of the first commercial semiconductor vendors to master the NMOS process, thanks to Hewlett-Packard. A while later, the startup company
471:
Depletion-load NMOS processes were also used by several other manufacturers to produce many incarnations of popular 8-bit, 16-bit, and 32-bit CPUs. Similarly to early PMOS and NMOS CPU designs using
75:
as load transistors allow single voltage operation and achieve greater speed than possible with pure enhancement-load devices. This is partly because the depletion-mode MOSFETs can be a better
644:
In the mid-1980s, faster CMOS variants, using similar HMOS process technology, such as Intel's CHMOS I, II, III, IV, etc. started to supplant n-channel HMOS for applications such as the
105:
process replaced most NMOS designs during the 1980s, some depletion-load NMOS designs are still produced, typically in parallel with newer CMOS counterparts. One example of this is the
79:
approximation than the simpler enhancement-mode transistor can, especially when no extra voltage is available (one of the reasons early PMOS and NMOS chips demanded several voltages).
705:
determines the maximum possible load at the output as well as the speed of the gate (i.e. with other factors constant). This contrasts to the power consumption characteristics of
307:
based) PMOS transistors is much less severe. Fabrication of NMOS transistors therefore has to be many times cleaner than bipolar processing in order to produce working devices.
738:, connects to each gate. In both technologies, each gate contains one NMOS transistor which is permanently turned on and connected to Vdd. When the transistors connecting to 287:
that are the charge carriers in NMOS transistors (a ratio of approximately 2.5), furthermore PMOS circuits do not interface easily with low voltage positive logic such as
487:. These techniques can enhance the area-economy considerably although the effect on the speed is complex. Processors built with depletion-load NMOS circuitry include the 452:
of the load transistors could be adjusted reliably. At Intel, depletion load was introduced in 1974 by Federico Faggin, an ex-Fairchild engineer and later the founder of
86:
demanded additional manufacturing steps compared to the simpler enhancement-load circuits; this is because depletion-load devices are formed by increasing the amount of
1189: 468:, where the highest performing versions of the chip had access times of less than 100ns, taking MOS memories close to the speed of bipolar RAMs for the first time. 1572: 1019: 846: 831: 522:
A large number of support and peripheral ICs were also implemented using (often static) depletion-load based circuitry. However, there were never any standardized
421:
device with gate tied to the opposite supply rail is a much better load than an enhancement-mode device, acting somewhere between a resistor and a current source.
697:
Compared to static CMOS, all variants of NMOS (and PMOS) are relatively power hungry in steady state. This is because they rely on load transistors working as
540:, although designs with several second source manufacturers often achieved something of a de facto standard component status. One example of this is the NMOS 750:
by default. In standard NMOS, the pull-up is the same kind of transistor as is used for logic switches. As the output voltage approaches a value less than
295:(the 7400-series). However, PMOS transistors are relatively easy to make and were therefore developed first — ionic contamination of the gate oxide from 2446: 1236: 1384: 1352:
Pseudo nMOS means that an enhancement-mode p-channel transistor with grounded gate is used in place of the depletion-mode n-channel transistor. See
548:
and many other contexts for several decades. Modern low power versions are available as CMOS or BiCMOS implementations, similar to the 7400-series.
2087: 1225:"Motorola is redesigning the M6800 microprocessor family by adding depletion loads to increase speed and reduce the 6800 CPU size to 160 mils." 1271: 1024: 962: 2004: 987: 1785: 1565: 1529: 711: 685: 476: 913: 1768: 1664: 1190:
http://archive.computerhistory.org/resources/text/Oral_History/Faggin_Federico/Faggin_Federico_1_2_3.oral_history.2004.102658025.pdf
999: 252:(around 1966–67) for a transistor with lower parasitic capacitances that could be manufactured as part of an IC (and not only as a 1908: 1635: 83: 1501: 847:
http://www.zilog.com/index.php?option=com_product&Itemid=26&mode=showProductDetails&familyId=20&productId=Z84C15
832:
http://www.zilog.com/index.php?option=com_product&Itemid=26&mode=showProductDetails&familyId=20&productId=Z84015
456:. Depletion-load was first employed for a redesign of one of Intel's most important products at the time, a +5V-only 1Kbit NMOS 292: 1956: 1755: 1471: 68: 1558: 1209: 792:
Depletion-load circuits consume less power than enhancement-load circuits at the same speed. In both cases the connection to
248:
in early 1968; it was a refinement (and the first working implementation) of ideas and work by John C. Sarace, Tom Klein and
1495: 581: 1309:
Atwood, G.E.; Dun, H.; Langston, J.; Hazani, E.; So, E.Y.; Sachdev, S.; Fuchs, K. (October 1982). "HMOS III technology".
1987: 1739: 1524: 1377: 425: 385: 805:, so this loss is considerable. Because the strength of a depletion-mode transistor falls off less on the approach to 1791: 1728: 1170: 527: 457: 210: 194: 1459: 813:
faster despite starting slower, i.e. conducting less current at the beginning of the transition and at steady state.
288: 214:
but niche markets, such as low power applications. One of the reasons for the low speed was that MOS transistors had
2451: 1998: 1489: 296: 234:
standard from the mid-1970s to early 2000s) was an important first step in order to reduce this handicap. This new
568:
products, it was soon being used for faster and/or less power hungry versions of the 8085, 8086, and other chips.
2205: 1919: 1762: 1483: 58: 2072: 2214: 1924: 1780: 2610: 2225: 1945: 1744: 1370: 921: 484: 245: 227: 148: 136:
device. However, the NMOS devices were impractical, and only the PMOS type were practical working devices.
2394: 1961: 1826: 1802: 441: 2463: 2415: 2236: 2052: 1967: 1898: 1734: 1477: 1431: 710:
significant leakage in modern tiny geometries, as well as the fact that modern CMOS chips often contain
480: 223: 396: 2537: 2281: 2176: 1950: 1843: 1697: 1658: 1589: 1581: 1318: 1033: 657: 472: 261: 190: 608:
HMOS, HMOS II, HMOS III, and HMOS IV were together used for many different kinds of processors; the
388:
IC. It was formally introduced in October 1970, and became Intel’s first really successful product.
2257: 2165: 2057: 1893: 1870: 991: 783: 585: 492: 198: 2562: 2422: 2130: 2097: 1913: 1797: 1775: 1507: 1334: 372: 253: 42: 2557: 2478: 2369: 2321: 2150: 2077: 2039: 1277: 1267: 995: 958: 702: 677: 519:, and many others (whether or not the HMOS processors below are included, as special cases). 449: 324: 235: 215: 164: 91: 464:(using more than 6000 transistors). The result of this redesign was the significantly faster 226:
using the manufacturing processes of the time. The introduction of transistors with gates of
2615: 2273: 2220: 2082: 2047: 1686: 1539: 1326: 1096: 1072: 1041: 979: 950: 873: 743: 437: 405: 347: 340: 152: 95: 2550: 2483: 2336: 2067: 1977: 1821: 1197: 649: 545: 544:
design, originally intended as an 8085 peripheral chip, that has been used in Z80 and x86
319: 249: 241: 1020:"Electron and hole mobilities in inversion layers on thermally oxidized silicon surfaces" 980: 1322: 1037: 283:
that are the charge (current) carriers in PMOS transistors have lower mobility than the
2525: 2306: 2296: 2062: 1865: 1465: 1092: 891: 861: 577: 496: 418: 376: 346:
The production-ready NMOS process enabled HP to develop the industry’s first 4-kbit IC
268: 128: 76: 62: 665: 2604: 2587: 2410: 2326: 2145: 1972: 1940: 1158:
to produce the Z80 and other chips before their own production facilities were ready.
1015: 504: 488: 304: 280: 140: 127:, were developed by Frosch and Derick in 1957 at Bell Labs. Following this research, 2468: 2456: 2344: 2311: 2140: 2125: 1708: 1692: 1534: 1393: 1338: 715: 613: 523: 375:). HP’s calculator engineers, who wanted a similar but more robust product for the 144: 50: 17: 632:, and many others, but also for several generations of the same basic design, see 475:
MOSFETs as loads, depletion-load nMOS designs typically employed various types of
30: 432:, which made depletion-mode transistors available for the design of the original 2510: 2252: 2201: 2107: 2092: 1875: 1837: 1454: 895: 684:
CMOS type during the 1960s and 1970s). These methods use significant amounts of
661: 629: 625: 537: 530: 512: 368: 264: 186: 172: 132: 1077: 1060: 954: 310:
Early work on NMOS integrated circuit (IC) technology was presented in a brief
2582: 2572: 2505: 2379: 2349: 2316: 2291: 2286: 2263: 2135: 2115: 1993: 1855: 1832: 1718: 1620: 1615: 1610: 1414: 1409: 1330: 673: 656:
was introduced for high-performance microprocessors as well as for high speed
621: 617: 609: 565: 541: 508: 500: 414: 410: 381: 189:, which entered large-scale manufacturing in the early 1970s. This led to MOS 183: 124: 118: 54: 1353: 942: 2545: 2389: 2384: 2374: 2301: 2181: 2015: 2010: 1935: 1860: 1129: 1045: 633: 445: 433: 364: 171:
also fabricated NMOS devices in the 1960s. The first IBM NMOS product was a
106: 35: 1281: 2567: 2515: 2495: 2473: 2359: 2354: 2242: 2231: 2160: 1930: 1155: 1100: 698: 589: 573: 564:. The first version was introduced in late 1976 and first used for their 351: 300: 284: 219: 1550: 2427: 2364: 2186: 2171: 2025: 1982: 1630: 730:
Depletion-load processes differ from their predecessors in the way the
516: 179: 877: 862:"Surface Protection and Selective Masking during Diffusion in Silicon" 424:
The first depletion-load NMOS circuits were pioneered and made by the
2500: 2191: 2155: 2120: 1680: 1652: 1625: 1600: 1437: 1401: 1185: 1151: 1104: 653: 429: 384:
1-kbit pMOS DRAM, which was the world’s first commercially available
336: 303:
based) NMOS transistors from switching off, while the effect in (the
87: 72: 2577: 2488: 2247: 2020: 1813: 1675: 1670: 782: 597: 453: 395: 356: 315: 29: 1362: 898:(1960). "Silicon-silicon dioxide field induced surface devices". 90:
in the load transistors channel region, in order to adjust their
2520: 1903: 1849: 1750: 1703: 1641: 1425: 914:"1960 – Metal Oxide Semiconductor (MOS) Transistor Demonstrated" 669: 645: 601: 534: 102: 1554: 1366: 1223:(2). Santa Clara, CA: Microcomputer Associates: 4. August 1976. 311: 168: 1118:
but it does cover PMOS and even CMOS fabrication extensively.
151:
fabricated several NMOS devices with channel lengths between
53:
that uses only a single power supply voltage, unlike earlier
279:
There are a couple of drawbacks associated with PMOS: The
660:. Today, most digital circuits, including the ubiquitous 82:
The inclusion of depletion-mode NMOS transistors in the
123:
The original two types of MOSFET logic gates, PMOS and
556:
Intel's own depletion-load NMOS process was known as
2536: 2436: 2403: 2335: 2272: 2200: 2106: 2038: 1884: 1812: 1717: 1599: 1588: 1517: 1447: 1400: 754:, it gradually switches itself off. This slows the 339:contaminants in the gates until the development of 27:
Form of digital logic family in integrated circuits
1237:"Recollections of Early Chip Development at Intel" 767:, then acts as a resistor. The result is a faster 404:Early MOS logic had one transistor type, which is 605:ensure the systems worked as the layout changed. 1235:Volk, A.M.; Stoll, P.A.; Metrovich, P. (2001). 900:IRE-AIEE Solid State Device Research Conference 299:and other sources can very easily prevent (the 1169:Each bit demands six transistors in a typical 796:is always active, even when the connection to 1566: 1378: 680:of typical slow low-power CMOS circuits (the 8: 1065:IEEE Solid-State Circuits Society Newsletter 1018:; Leistiko, Otto; Grove, A. S. (May 1965). 1596: 1573: 1559: 1551: 1385: 1371: 1363: 1354:http://eia.udg.es/~forest/VLSI/lect.10.pdf 1508:Current mode logic / Source-coupled logic 1076: 947:Technical Memorandum of Bell Laboratories 943:"Silicon-Silicon Dioxide Surface Device" 652:. A few years later, in the late 1980s, 400:Characteristics of depletion-mode MOSFET 822: 746:transistor determines the output to be 866:Journal of The Electrochemical Society 1262:Scanlon, Leo J.; Moody, C.W. (1981). 1025:IEEE Transactions on Electron Devices 988:Springer Science & Business Media 359:announced a 1-kbit pMOS DRAM, called 7: 2005:Three-dimensional integrated circuit 1311:IEEE Journal of Solid-State Circuits 1264:The 68000 Principles and programming 1095:and others) were in many ways small 982:History of Semiconductor Engineering 363:, developed as a custom product for 1786:Programmable unijunction transistor 726:Evolution from preceding NMOS types 479:(rather than just static gates) or 94:. This is normally performed using 1687:Multi-gate field-effect transistor 1186:http://www.intel4004.com/sgate.htm 25: 1665:Insulated-gate bipolar transistor 1061:"Recollections on MOSFET Scaling" 860:Frosch, C. J.; Derick, L (1957). 1909:Heterostructure barrier varactor 1636:Chemical field-effect transistor 444:more precise than possible with 413:, or the more positive rail for 367:(an attempt to replace magnetic 1957:Mixed-signal integrated circuit 1472:Direct-coupled transistor logic 562:High density, short channel MOS 335:standard solution to (mainly) 1: 734:voltage source, representing 582:Commodore Semiconductor Group 440:equipment needed to create a 240:transistor was introduced by 1988:Silicon controlled rectifier 1850:Organic light-emitting diode 1740:Diffused junction transistor 1091:These calculators (like the 211:bipolar junction transistors 65:and other logic elements. 1792:Static induction transistor 1729:Bipolar junction transistor 1681:MOS field-effect transistor 1653:Fin field-effect transistor 1502:Transistor–transistor logic 436:in 1975–76. Mostek had the 201:technologies in the 1970s. 2632: 1999:Static induction thyristor 1490:Integrated injection logic 1078:10.1109/N-SSC.2007.4785536 955:10.1142/9789814503464_0076 392:Depletion-mode transistors 222:which led to considerable 163:ÎĽm. Dale L. Critchlow and 116: 2168:(Hexode, Heptode, Octode) 1920:Hybrid integrated circuit 1763:Light-emitting transistor 1496:Resistor–transistor logic 1484:Gunning transceiver logic 1420:Depletion-load NMOS logic 1331:10.1109/JSSC.1982.1051823 1210:"Motorola Redesigns 6800" 1059:Critchlow, D. L. (2007). 718:with a certain amount of 491:(in later versions), the 237:self-aligned silicon-gate 119:NMOS logic § History 59:metal-oxide semiconductor 2215:Backward-wave oscillator 1925:Light emitting capacitor 1781:Point-contact transistor 1751:Junction Gate FET (JFET) 1244:Intel Technology Journal 779:Static power consumption 584:, who used it for their 2226:Crossed-field amplifier 1745:Field-effect transistor 1046:10.1109/T-ED.1965.15489 922:Computer History Museum 275:NMOS and back-gate bias 246:Fairchild Semiconductor 228:polycrystalline silicon 149:Fairchild Semiconductor 2395:Voltage-regulator tube 1962:MOS integrated circuit 1827:Constant-current diode 1803:Unijunction transistor 1460:Diode–transistor logic 789: 576:who used it for their 401: 224:parasitic capacitances 113:History and background 38: 34:A depletion-load NMOS 2464:Electrolytic detector 2237:Inductive output tube 2053:Low-dropout regulator 1968:Organic semiconductor 1899:Printed circuit board 1735:Darlington transistor 1582:Electronic components 1478:Emitter-coupled logic 1432:Pass transistor logic 786: 526:in NMOS, such as the 448:methods, so that the 399: 84:manufacturing process 49:is a form of digital 33: 2282:Beam deflection tube 1951:Metal-oxide varistor 1844:Light-emitting diode 1698:Thin-film transistor 1659:Floating-gate MOSFET 1217:Microcomputer Digest 817:Notes and references 191:semiconductor memory 143:, Otto Leistiko and 2258:Traveling-wave tube 2058:Switching regulator 1894:Printed electronics 1871:Step recovery diode 1648:Depletion-load NMOS 1323:1982IJSSC..17..810A 1296:HMOS III Technology 1099:, but preceded the 1038:1965ITED...12..248L 640:Further development 586:MOS Technology 8502 373:mainframe computers 327:large enough; this 209:In the late 1960s, 199:ferrite-core memory 47:depletion-load NMOS 43:integrated circuits 18:Depletion-load nMOS 2563:Crystal oscillator 2423:Variable capacitor 2098:Switched capacitor 2040:Voltage regulators 1914:Integrated circuit 1798:Tetrode transistor 1776:Pentode transistor 1769:Organic LET (OLET) 1756:Organic FET (OFET) 1448:Other technologies 1260:See for instance: 1196:2017-01-10 at the 1184:See for instance: 978:Lojek, Bo (2007). 941:KAHNG, D. (1961). 918:The Silicon Engine 790: 678:transmission gates 402: 254:discrete component 193:replacing earlier 39: 2598: 2597: 2558:Ceramic resonator 2370:Mercury-arc valve 2322:Video camera tube 2274:Cathode-ray tubes 2034: 2033: 1642:Complementary MOS 1548: 1547: 1426:Complementary MOS 1298:. ISSCC 82. 1982. 1273:978-0-672-21853-8 1097:desktop computers 964:978-981-02-0209-5 878:10.1149/1.2428650 809:, they may reach 788:a depletion load. 703:quiescent current 450:threshold voltage 297:etching chemicals 230:(that became the 165:Robert H. Dennard 92:threshold voltage 16:(Redirected from 2623: 2452:electrical power 2337:Gas-filled tubes 2221:Cavity magnetron 2048:Linear regulator 1597: 1575: 1568: 1561: 1552: 1540:Four-phase logic 1422:(including HMOS) 1387: 1380: 1373: 1364: 1357: 1349: 1343: 1342: 1306: 1300: 1299: 1292: 1286: 1285: 1258: 1252: 1251: 1241: 1232: 1226: 1224: 1214: 1206: 1200: 1181: 1175: 1166: 1160: 1150:Zilog relied on 1147: 1141: 1140: 1138: 1137: 1126: 1120: 1114: 1108: 1089: 1083: 1082: 1080: 1056: 1050: 1049: 1012: 1006: 1005: 985: 975: 969: 968: 938: 932: 931: 929: 928: 910: 904: 903: 888: 882: 881: 857: 851: 842: 836: 827: 693:Compared to CMOS 650:microcontrollers 546:embedded systems 483:used as dynamic 481:pass transistors 473:enhancement mode 438:ion implantation 406:enhancement mode 341:ion implantation 182:data and 50–100 178: 162: 156: 96:ion implantation 21: 2631: 2630: 2626: 2625: 2624: 2622: 2621: 2620: 2601: 2600: 2599: 2594: 2532: 2447:audio and video 2432: 2399: 2331: 2268: 2196: 2177:Photomultiplier 2102: 2030: 1978:Quantum circuit 1886: 1880: 1822:Avalanche diode 1808: 1720: 1713: 1602: 1591: 1584: 1579: 1549: 1544: 1513: 1443: 1396: 1391: 1361: 1360: 1350: 1346: 1308: 1307: 1303: 1294: 1293: 1289: 1274: 1261: 1259: 1255: 1239: 1234: 1233: 1229: 1212: 1208: 1207: 1203: 1198:Wayback Machine 1182: 1178: 1167: 1163: 1148: 1144: 1135: 1133: 1128: 1127: 1123: 1115: 1111: 1090: 1086: 1058: 1057: 1053: 1014: 1013: 1009: 1002: 977: 976: 972: 965: 940: 939: 935: 926: 924: 912: 911: 907: 890: 889: 885: 859: 858: 854: 843: 839: 828: 824: 819: 781: 742:turn off, this 728: 695: 658:analog circuits 642: 572:(among others) 554: 485:clocked latches 394: 320:Hewlett-Packard 277: 250:Robert W. Bower 242:Federico Faggin 207: 176: 160: 154: 121: 115: 63:microprocessors 28: 23: 22: 15: 12: 11: 5: 2629: 2627: 2619: 2618: 2613: 2611:Logic families 2603: 2602: 2596: 2595: 2593: 2592: 2591: 2590: 2585: 2575: 2570: 2565: 2560: 2555: 2554: 2553: 2542: 2540: 2534: 2533: 2531: 2530: 2529: 2528: 2526:Wollaston wire 2518: 2513: 2508: 2503: 2498: 2493: 2492: 2491: 2486: 2476: 2471: 2466: 2461: 2460: 2459: 2454: 2449: 2440: 2438: 2434: 2433: 2431: 2430: 2425: 2420: 2419: 2418: 2407: 2405: 2401: 2400: 2398: 2397: 2392: 2387: 2382: 2377: 2372: 2367: 2362: 2357: 2352: 2347: 2341: 2339: 2333: 2332: 2330: 2329: 2324: 2319: 2314: 2309: 2307:Selectron tube 2304: 2299: 2297:Magic eye tube 2294: 2289: 2284: 2278: 2276: 2270: 2269: 2267: 2266: 2261: 2255: 2250: 2245: 2240: 2234: 2229: 2223: 2218: 2211: 2209: 2198: 2197: 2195: 2194: 2189: 2184: 2179: 2174: 2169: 2163: 2158: 2153: 2148: 2143: 2138: 2133: 2128: 2123: 2118: 2112: 2110: 2104: 2103: 2101: 2100: 2095: 2090: 2085: 2080: 2075: 2070: 2065: 2060: 2055: 2050: 2044: 2042: 2036: 2035: 2032: 2031: 2029: 2028: 2023: 2018: 2013: 2008: 2002: 1996: 1991: 1985: 1980: 1975: 1970: 1965: 1959: 1954: 1948: 1943: 1938: 1933: 1928: 1922: 1917: 1911: 1906: 1901: 1896: 1890: 1888: 1882: 1881: 1879: 1878: 1873: 1868: 1866:Schottky diode 1863: 1858: 1853: 1847: 1841: 1835: 1830: 1824: 1818: 1816: 1810: 1809: 1807: 1806: 1800: 1795: 1789: 1783: 1778: 1773: 1772: 1771: 1760: 1759: 1758: 1753: 1742: 1737: 1732: 1725: 1723: 1715: 1714: 1712: 1711: 1706: 1701: 1695: 1690: 1684: 1678: 1673: 1668: 1662: 1656: 1650: 1645: 1639: 1633: 1628: 1623: 1618: 1613: 1607: 1605: 1594: 1586: 1585: 1580: 1578: 1577: 1570: 1563: 1555: 1546: 1545: 1543: 1542: 1537: 1532: 1527: 1521: 1519: 1515: 1514: 1512: 1511: 1505: 1499: 1493: 1487: 1481: 1475: 1469: 1466:Open collector 1463: 1457: 1451: 1449: 1445: 1444: 1442: 1441: 1435: 1429: 1423: 1417: 1412: 1406: 1404: 1402:MOS technology 1398: 1397: 1394:Logic families 1392: 1390: 1389: 1382: 1375: 1367: 1359: 1358: 1344: 1301: 1287: 1272: 1253: 1227: 1201: 1176: 1161: 1142: 1121: 1109: 1107:by many years. 1093:Datapoint 2200 1084: 1051: 1032:(5): 248–254. 1016:Sah, Chih-Tang 1007: 1000: 970: 963: 933: 905: 883: 852: 837: 821: 820: 818: 815: 780: 777: 727: 724: 694: 691: 641: 638: 578:Motorola 68000 553: 550: 524:logic families 497:Signetics 2650 442:doping profile 419:depletion-mode 393: 390: 329:back-gate bias 325:gate threshold 281:electron holes 276: 273: 258:Fairchild 3708 206: 203: 114: 111: 77:current source 69:Depletion-mode 26: 24: 14: 13: 10: 9: 6: 4: 3: 2: 2628: 2617: 2614: 2612: 2609: 2608: 2606: 2589: 2588:mercury relay 2586: 2584: 2581: 2580: 2579: 2576: 2574: 2571: 2569: 2566: 2564: 2561: 2559: 2556: 2552: 2549: 2548: 2547: 2544: 2543: 2541: 2539: 2535: 2527: 2524: 2523: 2522: 2519: 2517: 2514: 2512: 2509: 2507: 2504: 2502: 2499: 2497: 2494: 2490: 2487: 2485: 2482: 2481: 2480: 2477: 2475: 2472: 2470: 2467: 2465: 2462: 2458: 2455: 2453: 2450: 2448: 2445: 2444: 2442: 2441: 2439: 2435: 2429: 2426: 2424: 2421: 2417: 2414: 2413: 2412: 2411:Potentiometer 2409: 2408: 2406: 2402: 2396: 2393: 2391: 2388: 2386: 2383: 2381: 2378: 2376: 2373: 2371: 2368: 2366: 2363: 2361: 2358: 2356: 2353: 2351: 2348: 2346: 2343: 2342: 2340: 2338: 2334: 2328: 2327:Williams tube 2325: 2323: 2320: 2318: 2315: 2313: 2310: 2308: 2305: 2303: 2300: 2298: 2295: 2293: 2290: 2288: 2285: 2283: 2280: 2279: 2277: 2275: 2271: 2265: 2262: 2259: 2256: 2254: 2251: 2249: 2246: 2244: 2241: 2238: 2235: 2233: 2230: 2227: 2224: 2222: 2219: 2216: 2213: 2212: 2210: 2207: 2203: 2199: 2193: 2190: 2188: 2185: 2183: 2180: 2178: 2175: 2173: 2170: 2167: 2164: 2162: 2159: 2157: 2154: 2152: 2149: 2147: 2146:Fleming valve 2144: 2142: 2139: 2137: 2134: 2132: 2129: 2127: 2124: 2122: 2119: 2117: 2114: 2113: 2111: 2109: 2105: 2099: 2096: 2094: 2091: 2089: 2086: 2084: 2081: 2079: 2076: 2074: 2071: 2069: 2066: 2064: 2061: 2059: 2056: 2054: 2051: 2049: 2046: 2045: 2043: 2041: 2037: 2027: 2024: 2022: 2019: 2017: 2014: 2012: 2009: 2006: 2003: 2000: 1997: 1995: 1992: 1989: 1986: 1984: 1981: 1979: 1976: 1974: 1973:Photodetector 1971: 1969: 1966: 1963: 1960: 1958: 1955: 1952: 1949: 1947: 1944: 1942: 1941:Memtransistor 1939: 1937: 1934: 1932: 1929: 1926: 1923: 1921: 1918: 1915: 1912: 1910: 1907: 1905: 1902: 1900: 1897: 1895: 1892: 1891: 1889: 1883: 1877: 1874: 1872: 1869: 1867: 1864: 1862: 1859: 1857: 1854: 1851: 1848: 1845: 1842: 1839: 1836: 1834: 1831: 1828: 1825: 1823: 1820: 1819: 1817: 1815: 1811: 1804: 1801: 1799: 1796: 1793: 1790: 1787: 1784: 1782: 1779: 1777: 1774: 1770: 1767: 1766: 1764: 1761: 1757: 1754: 1752: 1749: 1748: 1746: 1743: 1741: 1738: 1736: 1733: 1730: 1727: 1726: 1724: 1722: 1716: 1710: 1707: 1705: 1702: 1699: 1696: 1694: 1691: 1688: 1685: 1682: 1679: 1677: 1674: 1672: 1669: 1666: 1663: 1660: 1657: 1654: 1651: 1649: 1646: 1643: 1640: 1637: 1634: 1632: 1629: 1627: 1624: 1622: 1619: 1617: 1614: 1612: 1609: 1608: 1606: 1604: 1598: 1595: 1593: 1590:Semiconductor 1587: 1583: 1576: 1571: 1569: 1564: 1562: 1557: 1556: 1553: 1541: 1538: 1536: 1533: 1531: 1528: 1526: 1523: 1522: 1520: 1516: 1509: 1506: 1503: 1500: 1497: 1494: 1491: 1488: 1485: 1482: 1479: 1476: 1473: 1470: 1467: 1464: 1461: 1458: 1456: 1453: 1452: 1450: 1446: 1439: 1436: 1433: 1430: 1427: 1424: 1421: 1418: 1416: 1413: 1411: 1408: 1407: 1405: 1403: 1399: 1395: 1388: 1383: 1381: 1376: 1374: 1369: 1368: 1365: 1356: 1355: 1348: 1345: 1340: 1336: 1332: 1328: 1324: 1320: 1316: 1312: 1305: 1302: 1297: 1291: 1288: 1283: 1279: 1275: 1269: 1266:. H.W. Sams. 1265: 1257: 1254: 1249: 1245: 1238: 1231: 1228: 1222: 1218: 1211: 1205: 1202: 1199: 1195: 1192: 1191: 1187: 1180: 1177: 1174: 1172: 1165: 1162: 1159: 1157: 1153: 1146: 1143: 1131: 1125: 1122: 1119: 1113: 1110: 1106: 1102: 1098: 1094: 1088: 1085: 1079: 1074: 1070: 1066: 1062: 1055: 1052: 1047: 1043: 1039: 1035: 1031: 1027: 1026: 1021: 1017: 1011: 1008: 1003: 1001:9783540342588 997: 993: 989: 984: 983: 974: 971: 966: 960: 956: 952: 948: 944: 937: 934: 923: 919: 915: 909: 906: 901: 897: 893: 887: 884: 879: 875: 871: 867: 863: 856: 853: 849: 848: 841: 838: 834: 833: 826: 823: 816: 814: 812: 808: 804: 799: 795: 785: 778: 776: 774: 770: 766: 761: 757: 753: 749: 745: 741: 737: 733: 725: 723: 721: 717: 713: 708: 704: 700: 692: 690: 687: 683: 679: 675: 672: 671: 667: 666:complementary 663: 659: 655: 651: 647: 639: 637: 635: 631: 627: 623: 619: 615: 611: 606: 603: 599: 593: 591: 587: 583: 579: 575: 569: 567: 563: 559: 551: 549: 547: 543: 539: 536: 532: 529: 525: 520: 518: 514: 510: 506: 502: 498: 494: 490: 486: 482: 478: 477:dynamic logic 474: 469: 467: 463: 459: 455: 451: 447: 443: 439: 435: 431: 428:manufacturer 427: 422: 420: 416: 412: 407: 398: 391: 389: 387: 383: 378: 374: 370: 366: 362: 358: 353: 349: 344: 342: 338: 334: 330: 326: 321: 317: 313: 308: 306: 305:electron-hole 302: 298: 294: 290: 286: 282: 274: 272: 270: 266: 263: 259: 255: 251: 247: 243: 239: 238: 233: 229: 225: 221: 217: 212: 204: 202: 200: 196: 192: 188: 185: 181: 174: 170: 166: 158: 150: 146: 142: 141:Chih-Tang Sah 137: 134: 130: 126: 120: 112: 110: 108: 104: 101:Although the 99: 97: 93: 89: 85: 80: 78: 74: 70: 66: 64: 60: 56: 52: 48: 44: 37: 32: 19: 2345:Cold cathode 2312:Storage tube 2202:Vacuum tubes 2151:Neutron tube 2126:Beam tetrode 2108:Vacuum tubes 1693:Power MOSFET 1647: 1535:Domino logic 1438:Bipolar–CMOS 1419: 1351: 1347: 1317:(5): 810–5. 1314: 1310: 1304: 1295: 1290: 1263: 1256: 1247: 1243: 1230: 1220: 1216: 1204: 1183: 1179: 1168: 1164: 1149: 1145: 1134:. Retrieved 1132:. Hp9825.com 1124: 1116: 1112: 1087: 1071:(1): 19–22. 1068: 1064: 1054: 1029: 1023: 1010: 981: 973: 946: 936: 925:. Retrieved 917: 908: 899: 886: 869: 865: 855: 844: 840: 829: 825: 810: 806: 802: 797: 793: 791: 775:transition. 772: 768: 764: 759: 755: 751: 747: 739: 735: 731: 729: 719: 716:domino logic 706: 701:, where the 696: 681: 668: 648:and certain 643: 607: 594: 570: 561: 557: 555: 521: 470: 465: 461: 423: 403: 360: 345: 332: 328: 309: 278: 257: 236: 231: 208: 205:Silicon gate 138: 122: 109:and Z84C15. 100: 81: 67: 51:logic family 46: 40: 2511:Transformer 2253:Sutton tube 2093:Charge pump 1946:Memory cell 1876:Zener diode 1838:Laser diode 1721:transistors 1603:transistors 1455:Diode logic 1130:"Prologues" 990:. pp.  949:: 583–596. 722:circuitry. 720:pseudo nMOS 662:7400 series 646:Intel 80386 600:process, a 588:die-shrunk 538:4000 series 531:7400 series 460:called the 377:9800 series 369:core memory 331:remained a 265:multiplexer 187:access time 173:memory chip 2605:Categories 2583:reed relay 2573:Parametron 2506:Thermistor 2484:resettable 2443:Connector 2404:Adjustable 2380:Nixie tube 2350:Crossatron 2317:Trochotron 2292:Iconoscope 2287:Charactron 2264:X-ray tube 2136:Compactron 2116:Acorn tube 2073:Buck–boost 1994:Solaristor 1856:Photodiode 1833:Gunn diode 1829:(CLD, CRD) 1611:Transistor 1415:NMOS logic 1410:PMOS logic 1171:static RAM 1136:2022-03-15 927:2023-01-16 892:Atalla, M. 872:(9): 547. 634:datasheets 566:static RAM 552:Intel HMOS 415:NMOS logic 411:PMOS logic 382:Intel 1103 145:A.S. Grove 117:See also: 2546:Capacitor 2390:Trigatron 2385:Thyratron 2375:Neon lamp 2302:Monoscope 2182:Phototube 2166:Pentagrid 2131:Barretter 2016:Trancitor 2011:Thyristor 1936:Memristor 1861:PIN diode 1638:(ChemFET) 1510:(CML/SCL) 896:Kahng, D. 699:resistors 446:diffusion 434:Zilog Z80 371:in their 365:Honeywell 318:in 1969. 314:paper at 293:TTL-logic 289:DTL-logic 285:electrons 139:In 1965, 36:NAND gate 2568:Inductor 2538:Reactive 2516:Varistor 2496:Resistor 2474:Antifuse 2360:Ignitron 2355:Dekatron 2243:Klystron 2232:Gyrotron 2161:Nuvistor 2078:Split-pi 1964:(MOS IC) 1931:Memistor 1689:(MuGFET) 1683:(MOSFET) 1655:(FinFET) 1440:(BiCMOS) 1194:Archived 1156:Synertek 1103:and the 1101:Apple II 676:and the 590:MOS 6502 574:Motorola 542:8255 PIO 533:and the 352:Motorola 333:de facto 301:electron 232:de facto 220:aluminum 218:made of 57:(n-type 2616:MOSFETs 2469:Ferrite 2437:Passive 2428:Varicap 2416:digital 2365:Krytron 2187:Tetrode 2172:Pentode 2026:Varicap 2007:(3D IC) 1983:RF CMOS 1887:devices 1661:(FGMOS) 1592:devices 1530:Dynamic 1339:1215664 1319:Bibcode 1282:7802969 1034:Bibcode 744:pull-up 714:and/or 712:dynamic 686:dynamic 528:bipolar 517:NS32016 269:decoder 260:(8-bit 195:bipolar 73:MOSFETs 71:n-type 2501:Switch 2192:Triode 2156:Nonode 2121:Audion 2001:(SITh) 1885:Other 1852:(OLED) 1814:Diodes 1765:(LET) 1747:(FET) 1719:Other 1667:(IGBT) 1644:(CMOS) 1631:BioFET 1626:BiCMOS 1525:Static 1474:(DCTL) 1428:(CMOS) 1337:  1280:  1270:  1152:Mostek 1105:IBM PC 998:  961:  707:static 670:static 654:BiCMOS 580:, and 560:, for 430:Mostek 337:sodium 262:analog 177:  175:with 1 161:  159:and 65 155:  129:Atalla 107:Z84015 88:dopant 2578:Relay 2551:types 2489:eFUSE 2260:(TWT) 2248:Maser 2239:(IOT) 2228:(CFA) 2217:(BWO) 2141:Diode 2088:SEPIC 2068:Boost 2021:TRIAC 1990:(SCR) 1953:(MOV) 1927:(LEC) 1846:(LED) 1805:(UJT) 1794:(SIT) 1788:(PUT) 1731:(BJT) 1700:(TFT) 1676:LDMOS 1671:ISFET 1518:Types 1504:(TTL) 1498:(RTL) 1486:(GTL) 1480:(ECL) 1462:(DTL) 1434:(PTL) 1335:S2CID 1250:(Q1). 1240:(PDF) 1213:(PDF) 674:gates 630:80286 626:80186 598:CHMOS 513:Z8000 466:2102A 454:Zilog 357:Intel 316:ISSCC 267:with 216:gates 133:Kahng 2521:Wire 2479:Fuse 2063:Buck 1916:(IC) 1904:DIAC 1840:(LD) 1709:UMOS 1704:VMOS 1621:PMOS 1616:NMOS 1601:MOS 1492:(IL) 1468:(OC) 1278:OCLC 1268:ISBN 1154:and 996:ISBN 994:–3. 959:ISBN 845:See 830:See 682:only 622:8086 618:8051 614:8048 610:8085 602:CMOS 558:HMOS 535:CMOS 509:8086 505:6809 501:8085 493:6502 489:6800 462:2102 458:SRAM 426:DRAM 386:DRAM 361:1102 291:and 197:and 131:and 125:NMOS 103:CMOS 55:NMOS 2083:Ćuk 1327:doi 1188:or 1073:doi 1042:doi 992:321 951:doi 874:doi 870:104 771:to 758:to 752:Vdd 732:Vdd 348:ROM 312:IBM 244:at 169:IBM 167:at 147:at 41:In 2607:: 2457:RF 2206:RF 1333:. 1325:. 1315:17 1313:. 1276:. 1246:. 1242:. 1219:. 1215:. 1069:12 1067:. 1063:. 1040:. 1030:12 1028:. 1022:. 986:. 957:. 945:. 920:. 916:. 894:; 868:. 864:. 636:. 628:, 624:, 620:, 616:, 612:, 592:. 515:, 511:, 507:, 503:, 499:, 495:, 350:. 184:ns 180:kb 157:ÎĽm 98:. 45:, 2208:) 2204:( 1574:e 1567:t 1560:v 1386:e 1379:t 1372:v 1341:. 1329:: 1321:: 1284:. 1248:5 1221:3 1173:. 1139:. 1081:. 1075:: 1048:. 1044:: 1036:: 1004:. 967:. 953:: 930:. 902:. 880:. 876:: 850:. 835:. 811:1 807:1 803:0 798:0 794:1 773:1 769:0 765:1 760:1 756:0 748:1 740:0 736:1 153:8 20:)

Index

Depletion-load nMOS

NAND gate
integrated circuits
logic family
NMOS
metal-oxide semiconductor
microprocessors
Depletion-mode
MOSFETs
current source
manufacturing process
dopant
threshold voltage
ion implantation
CMOS
Z84015
NMOS logic § History
NMOS
Atalla
Kahng
Chih-Tang Sah
A.S. Grove
Fairchild Semiconductor
8 ÎĽm
Robert H. Dennard
IBM
memory chip
kb
ns

Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.

↑