Knowledge (XXG)

PowerPC 600

Source 📝

1296:, and more powerful branch and load/store units that had more buffers, the 620 was very powerful. The branch history table was also larger and could dispatch more instructions so that the processor can handle out-of-order execution more efficiently than the 604. The floating-point unit was also enhanced compared to the 604. With a faster fetch cycle and support for several key instructions in hardware (like sqrt) made it, combined with faster and wider data buses, more efficient than the FPU in the 604. 752: 1121: 966:(width, speed, contention, and complexity), ROM bugs, and hard disk performance. None of the problems of the 5200 line, aside from 68K emulation performance, were inherently due to the 603. Rather, the processor was retrofitted to be used with 68K motherboards and other obsolete parts. The site Low End Mac rates the Performa 5200 as the worst Mac of all-time. The 603 found widespread use in different embedded appliances. 1413:. Despite its name, it did not have anything in common with any other 603. It was a from the ground up implementation of the 32-bit PowerPC architecture targeted at the high-end embedded market developed over two years. As such, it was small, simple, energy efficient, but powerful; equaling the more expensive 603e while drawing less power. It had an in-order, five-stage pipeline with a single integer unit, a 975: 864: 879:, operating at 90 to 120 MHz was introduced in 1994. It was fabricated in a newer 0.5 μm CMOS process with four levels of interconnect, resulting in a die measuring 74 mm. The 601+ design was remapped from CMOS-4s to CMOS-5x by an IBM-only team. To avoid time-to-market delays from design tool changes and commonizing fab groundrules, both the 601 and 601+ were designed with IBM 926: 892: 25: 1204: 640: 1219:
manufactured by IBM and Motorola on a 0.35 μm CMOS process with five levels of interconnect. The die was 148 mm or 96 mm large, manufactured by Motorola and IBM respectively, drawing 16–18 W at 233 MHz. It operated at speeds between 166 and 233 MHz and supported a memory bus up to 66 MHz.
914:
power processor for portable applications. One of the main features was power saving functions (doze, nap and sleep mode) that could dramatically reduce power requirements, drawing only 2 mW in sleep mode. The 603 has a four-stage pipeline and five execution units: integer unit, floating-point unit,
986:. The L1 cache was enlarged and enhanced to 16 KB four-way set-associative data and instruction caches. The clock speed of the processors was doubled too, reaching 200 MHz. Shrinking the fabrication process to 350 nm allowed for speeds of up to 300 MHz. This part is sometimes called 1372:
was a stripped-down version of PowerPC 603, specially made for game consoles by Motorola and IBM, introduced in February 1995. It has smaller L1 caches (4 KB instruction and 4 KB data), a single-precision floating-point unit and a scaled back branch prediction unit. It was offered at speeds
913:
as specified. Introduced in 1994, it was an advanced design for its day, being one of the first microprocessors to offer dual issue (up to three with branch folding) and out-of-order execution combined with low power consumption of 2.2 W and a small die of 85 mm. It was designed to be a low cost, low
1291:
The 620 was similar to the 604. It has a five-stage pipeline, same support for symmetric multiprocessing and the same number of execution units; a load/store unit, a branch unit, an FPU, and three integer units. With larger 32 KB instruction and data caches, support for a L2 cache that may have
1485:
core on die, thus making the processor able to natively process both PowerPC and x86 instructions. An operating system running on PowerPC 615 could either choose to execute 32-bit or 64-bit PowerPC instructions, 32-bit x86 instructions or a mix of three. Mixing instructions would involve a context
1218:
was introduced in July 1996 and added a condition register unit and separate 32 KB data and instruction L1 caches among other changes to its memory subsystem and branch prediction unit, resulting in a 25% performance increase compared to its predecessor. It had 5.1 million transistors and was
965:
line led to the processor getting a poor reputation. Aside from the issue of 68K emulation performance, the Performa machines shipped with a variety of design flaws, some of them severe, related to other aspects of the computers' design, including networking performance and stability, bus problems
703:
support. New PowerPC changes, leveraging the basic RSC structure was very beneficial to reducing the uncertainty in chip area/floorplanning and timing analysis/tuning. Worth noting is that the 601 not only implemented substantial new key functions such as SMP, but it also acted as a bridge between
1287:
The 620 was produced by Motorola in a 0.5 μm process. It had 6.9 million transistors and the die had an area of 311 mm. It operated at clock rates between 120 and 150 MHz, and drew 30 W at 133 MHz. A later model was built using a 0.35 μm process, enabling it to reach
838:
production facilities. The 601 used the IBM CMOS-4s process and the 601+ used the IBM CMOS-5x process. An extremely small number of these 601 and 601+ processors were relabeled with Motorola logos and part numbers and distributed through Motorola. These facts are somewhat obscured given there are
732:
and it reduced the amount of time required for the processor designers and architects to propose, document, negotiate, and close a new bus interface (successfully avoiding the "Bus Wars" expected by the 601 management team if the 88110 bus or the previous RSC buses hadn't been adopted). Worthy to
720:
bus architecture to the 601 for the benefit of the alliance and its customers, Motorola management provided not only the 88110 bus architecture specifications, but also a handful of 88110 bus-literate designers to help with the 60x bus logic implementation and verification. Given the Apple system
679:
on March 14, 1994. The 601 was the first advanced single-chip implementation of the POWER/PowerPC architecture designed on a crash schedule to establish PowerPC in the marketplace and cement the AIM alliance. In order to achieve an extremely aggressive schedule while including substantially new
1239:
was introduced in August 1997 and was essentially a 604e fabricated by IBM and Motorola with a newer process, reaching higher speeds with a lower energy consumption. The die was 47 mm small manufactured on a 0.25 μm CMOS process with five levels of interconnect, and drew 6 W at
1267:
PowerPC architecture. It was a second generation PowerPC alongside the 603 and 604, but geared towards the high-end workstation and server market. It was powerful on paper and was initially supposed to be launched alongside its brethren but it was delayed until 1997. When it did arrive, the
1187:
The PowerPC 604 contains 3.6 million transistors and was fabricated by IBM and Motorola with a 0.5 μm CMOS process with four levels of interconnect. The die measured 12.4 mm by 15.8 mm (196 mm) and drew 14-17 W at 133 MHz. It operated at speeds between 100 and
1465:", and Motorola even bumped it to the fourth generation PowerPC even though the architectural differences between "G3" and "G4" was small. There are hardly any sources confirming any of this though and it might be pure speculation, or a reference to a completely different processor. 1857:
The 603's tiny 8K caches were notoriously poor for Mac OS software, particularly for 68K emulation; even the 603e's caches cause a significant performance hit at higher clock speeds. Given Arthur's design target of 250 MHz and up, doubling the caches again made
937:
The PowerPC 603 had 1.6 million transistors and was fabricated by IBM and Motorola in a 0.5 μm CMOS process with four levels of interconnect. The die was 85 mm large drawing 2.2 W at 80 MHz. The 603 architecture is the direct ancestor to the
1308:. It was designed to be a system bus for multiprocessor systems where processors, caches, memory and I/O was to be connected, assisted by a system control chip. It supports both 32- and 64-bit PowerPC processors, memory addresses larger than 32 bits, and 733:
note is that accepting the 88110 bus for the benefit of Apple's efforts and the alliance was at the expense of the first IBM RS/6000 system design team's efforts who had their support ASICs already implemented around the RSC's totally different bus structure.
1424:
The 603q was designed for Motorola, but they withdrew from the contract before the 603q went into full production. As a result, the 603q was canceled as QED could not continue to market the processor since they lacked a PowerPC license of their own.
1521:
technology, skepticism was expressed about dedicating hardware resources to running foreign binaries when such resources could be used to improve native performance instead, this also benefiting the performance of translated binaries.
721:
design team was familiar with the I/O bus structure from Motorola's 88110 and this I/O bus implementation was well defined and documented, the 601 team adopted the bus technology to improve time to market. The bus was renamed the
918:, load/store unit and a system registry unit. It has separate 8 KB L1 caches for instructions and data and a 32/64 bit 60x memory bus, reaching up to 120 MHz at 3.8 V. The 603 core did not have hardware support for 1171:
processor capable of issuing four instructions simultaneously. The 604 has a six-stage pipeline and six execution units that can work in parallel, finishing up to six instructions every cycle. Two simple and one complex
1077:
processors bear the designation MPC82xx, and come in a variety of configurations reaching 450 MHz. The G2 name is also used as a retronym for the 603e and 604 processors to align with the G3, G4, and the G5.
1373:
ranging from 50 to 80 MHz, and drew 1.2 W at 66 MHz. It consisted of 1 million transistors and it was 50 mm large manufactured in a 0.5 μm, CMOS process with four levels of interconnect.
791:
First launched in IBM systems in the fall of 1993, it was marketed by IBM as the PPC601 and by Motorola as the MPC601. It operated at speeds ranging from 50 to 80 MHz. It was fabricated using a 0.6 μm
1448:
processor that was designed to outgun the 604 by a wide margin. There are hardly any sources confirming any of this though and it might be pure speculation, or a reference to a completely different processor.
953:
with performance Apple considered adequate, due to the smaller processor caches. As a result, Apple chose to only use the 603 in its low-cost desktop Performa line. This caused the delay of the Apple
1268:
performance was comparably poor and the considerably cheaper 604e surpassed it. The 620 was therefore never produced in large quantities and found very little use. The sole user of PowerPC 620 was
804:, a capacity that was considered large at the time for an on-chip cache. Thanks partly to the large cache it was considered a high performance processor in its segment, outperforming the competing 557: 1184:
and one load/store unit. It has separate 16 KB data and instruction L1 caches. The external interface is a 32- or 64-bit 60x bus that operates at clock rates up to 50 MHz.
1049:
satellite phone fleet. The satellites each contain seven Motorola/Freescale PowerPC 603e processors running at roughly 200 MHz each. A custom 603e processor is also used in the
684:(SMP) implementation) the design leveraged a number of key technologies and project management strategies. The 601 team leveraged much of the basic structure and portions of the IBM 728:
Using the 88110 bus as the basis for the 60x bus helped schedules in a number of ways. It helped the Apple Power Macintosh team by reducing the amount of redesign of their support
692:. While nearly every portion of the RSC design was modified, and many design blocks were substantially modified or completely redesigned given the completely different unified 1417:
floating-point unit (FPU) and separate 16 KB instruction and 8 KB data caches. While the integer unit was a brand new design, the FPU was derived from the
1461:
might have been a name given by Motorola to a third generation PowerPC, and later renamed by the same reason as 613. It's been suggested that the part was renamed "
990:. The 603e and 603ev have 2.6 million transistors each and are 98 mm and 78 mm large respectively. The 603ev draws a maximum of 6 W at 300 MHz. 661:. The design effort started in earnest in mid-1991 and the first prototype chips were available in October 1992. The first 601 processors were introduced in an IBM 2006: 1533:
was the early name for the Apache series 64-bit PowerPC processors, designed by IBM based on the "Amazon" PowerPC-AS instruction set. They were later renamed "
152:. The first incarnation became the PowerPC 601 in 1993, and the second generation soon followed with the PowerPC 603, PowerPC 604 and the 64-bit PowerPC 620. 1608: 1828: 1276:
machines, but they didn't deliver any large numbers. IBM, which intended to use it in workstations and servers, decided to wait for the even more powerful
550: 1579:, is a defunct PowerPC project by IBM in the 1994–96 timeframe. It has been suggested that was the third generation PowerPC based on the 604 processor. 1104:
processors bear the designation MPC83xx, and come in a variety of configurations reaching speeds up to 667 MHz. The e300 is also the core of the
1733: 1005:
line of computers, with CPUs ranging in speeds from 160 to 240 MHz. The PowerPC 603e is still sold today by IBM and Freescale, and others like
1312:
environments. It was also used in POWER3, RS64 and 601, as well as 604-based RS/6000 systems (with a bridge chip). The bus later evolved into the
946: 788:
was four stages long, the branch pipeline two stages long, the memory pipeline five stages long, and the floating-point pipeline six stages long.
729: 148:. Somerset was opened in 1992 and its goal was to make the first PowerPC processor and then keep designing general purpose PowerPC processors for 2262: 1593: 1549: 1414: 689: 543: 1647: 1809: 505: 2498: 704:
the POWER and the future PowerPC processors to assist IBM and software developers in their transitions to PowerPC. From start of design to
1505:
processors and comparable in speed. The processor was introduced only as a prototype and the program was killed in part by the fact that
839:
various pictures of the "Motorola MPC601", particularly one specific case of masterful Motorola marketing where the 601 was named one of
2220: 1100:
embedded processors. Larger 32/32 KB L1 caches and other performance enhancing measures were added. Freescale's PowerQUICC II Pro
725:
once implemented on the 601. These Motorola (and a small number of Apple) designers joined over 120 IBM designers in creating the 601.
515: 2493: 490: 108: 2483: 1917: 2503: 2463: 46: 1399: 89: 2470:— Relevant parts: Chapter 8 (describes the PowerPC 601), and Chapter 11 (a comparison of the PowerPC 601 and Alpha 21064) 2407: 2341: 1351: 2488: 2176: 1517:
processor. With progress having been demonstrated in the development of dynamic translation software, such as Digital's
1421:
to save time. It was 69 mm small using a 0.5 μm fabrication process and drew just 1.2 W at 120 MHz.
880: 61: 42: 2010: 1050: 2432: 2115: 680:
functionality (such as substantial performance enhancements, new instructions and importantly POWER/PowerPC's first
2201: 510: 68: 1763:
Burgess et al., "The PowerPC 603 Microprocessor: A High Performance, Low Power, Superscalar RISC Microprocessor",
35: 1849: 1598: 1309: 1145: 919: 769: 681: 244: 1240:
250 MHz. It operated at speeds between 250 and 400 MHz and supported a memory bus up to 100 MHz.
2381: 708:
of the first 601 prototype was just 12 months in order to push hard to establish PowerPC on the market early.
75: 1992: 1034: 835: 688:(RSC) processor, but also included support for the vast majority of the new PowerPC instructions not in the 2149: 2266: 2263:"QED Announces PowerPC Microprocessor Technology Development In Addition To Existing MIPS Microprocessors" 1737: 1441: 1402: 1341: 1181: 808: 781: 736:
This 60x bus later became a fairly long lived basic interface for the many variants of the 601, 603, 604,
158: 2363: 1988: 2286: 2059: 1836: 1410: 1173: 1046: 800:. The die was 121 mm large and contained 2.8 million transistors. The 601 has a 32 KB unified 797: 777: 57: 1497:
It was 330 mm large and manufactured by IBM on a 0.35 μm process. It was pin compatible with
2270: 751: 1944: 1208: 994: 785: 480: 423: 416: 1177: 1042: 831: 773: 2078:
Song, Peter S.; Denman, Marvin; Chang, Joe (October 1994). "The PowerPC 604 RISC Microprocessor".
1813: 1509:
never supported the processor. Engineers working on the PowerPC 615 would later find their way to
1140:
was introduced in December 1994 alongside the 603 and was designed as a high-performance chip for
1120: 993:
The PowerPC 603e was the first mainstream desktop processor to reach 300 MHz, as used in the
2097: 1841: 1700: 1038: 1014: 2303: 1894: 1486:
switch in the CPU with a small overhead. The only operating systems that supported the 615 were
1387: 2459: 2250: 1436:
seems to be a name Motorola had given a third generation PowerPC. It supposedly was renamed "
899: 457: 440: 409: 149: 2227: 1776:
Gary et al., "The PowerPC 603 Microprocessor: A Low-Power Design For Portable Applications",
2089: 1692: 1514: 1101: 1074: 1033:
system, something the 603 wasn't designed for. IBM also used PowerPC 603e processors in the
950: 915: 700: 685: 452: 1560:", probably to distinguish it from the more consumer oriented "PowerPC" processors used by 1548:
was the early name for the high end 64-bit PowerPC processor, designed by IBM to unify the
2345: 2043: 1478: 1376: 1153: 1030: 930: 841: 812: 764:
The chip was designed to suit a wide variety of applications and had support for external
696: 676: 658: 82: 1871: 1337: 954: 129: 2477: 2206: 1921: 962: 958: 495: 209: 204: 133: 2101: 1845: 1704: 1683:
Becker, Michael K.; et al. (September 1993). "The PowerPC 601 Microprocessor".
1629: 974: 2367: 2328: 2314: 2135: 1633: 1380: 1344: 1105: 1087: 1041:
used the PowerPC 603e as the main processor. The 603e processors also power all 66
896: 485: 199: 194: 189: 184: 145: 863: 1750:
Pham et al., "A 3.0 W 75 SPECint92 85 SPECfp92 Superscalar RISC Microprocessor",
1073:
processors, and, as such, it keeps on being developed. Freescale's PowerQUICC II
961:, as Apple chose to wait for a processor revision. Apple's use of the 603 in the 1588: 1437: 1269: 1244: 1168: 1141: 939: 925: 891: 824: 737: 665: 366: 342: 329: 324: 24: 2414: 2349: 2080: 2044:"PowerPC on Apple: An Architectural History, Part I (page 6, The PowerPC 604)" 1561: 1462: 1203: 1149: 1129: 1097: 1070: 816: 745: 741: 447: 335: 2180: 1630:"PowerPC on Apple: An Architectural History, Part I (page 2, "PowerPC 601")" 1603: 1510: 1506: 1192: 1066: 1010: 852: 801: 765: 639: 500: 166: 2093: 1966: 1477:" is a PowerPC processor announced by IBM in 1994, but which never reached 2436: 2284:
Turley, Jim (November 18, 1996). "QED's PowerPC 603q Heads for Low Cost".
1537:". The designation "PowerPC 625" was never used for the final processors. 1354:(Interesting reading concerning the use of PowerPC 620 at Bull. In French) 1654: 1293: 867:
An IBM manufactured 90 MHz PowerPC 601v. Notice the slightly smaller die.
830:
IBM was the sole manufacturer of the 601 and 601+ microprocessors in its
705: 389: 141: 2304:
PowerPC revving up for next generation – Speedier RISC ahead through '97
1553: 1502: 1248: 1157: 1026: 910: 820: 662: 655: 520: 377: 305: 226: 162: 126: 1696: 1557: 1333: 1329: 1317: 1281: 1264: 1164:
accelerator boards, and as an embedded CPU for telecom applications.
1125: 998: 672: 652: 617:
Superscalar, out-of-order execution, 6 execution units. SMP support.
372: 348: 300: 295: 290: 285: 279: 272: 265: 258: 251: 1304:
The system bus was a wider and faster 128-bit memory bus called the
780:, a branch unit and a sequencer unit. The processor also included a 2315:
Art Arizpe -Project Manager/Engineering Manager Motorola, 1991–1996
1794:
Gerosa et al., "A 2.2 W, 80 MHz Superscalar RISC Microprocessor",
1518: 1498: 1487: 1418: 1202: 1161: 1119: 1109: 1022: 1018: 1006: 1002: 973: 924: 890: 862: 805: 750: 717: 638: 221: 2057:
Gwennap, Linley (April 18, 1994). "PPC 604 Powers Past Pentium".
2023:
LeCroy 1998 Test & Measurement Products Catalog, TMCAT98 0498
651:
was the first generation of microprocessors to support the basic
1534: 1491: 1445: 1277: 1273: 793: 463: 643:
The PowerPC 601 prototype reached first silicon in October 1992
2120: 1482: 693: 669: 668:
in October 1993 (alongside its more powerful multichip cousin
137: 18: 1207:
A 200 MHz IBM PowerPC 604e processor on the CPU module of an
883:
on IBM systems and were fabricated in IBM-only facilities.
1405:(QED) announced a PowerPC 603-compatible processor named " 1193:
Power PC 604 RISC microprocessor, lecture by Marvin Denman
595:
3 execution units, static branch prediction. SMP support.
1670:
Multiprocessing Aspects of the PowerPC 601 Microprocessor
909:
was the first processor implementing the complete 32-bit
2221:"PowerPC 602 RISC Microprocessor Hardware Specification" 982:
The performance issues of the 603 were addressed in the
853:
PowerPC 601 Microprocessor, lecture by Keith Diefendorff
1243:
While Apple dropped the 604ev in 1998 in favor for the
848:
s 1994 "Products of the Year" with a Motorola marking.
716:
In order to help the effort to rapidly incorporate the
1383:
that used two PowerPC 602, but it was never marketed.
132:
built. They were designed at the Somerset facility in
942:
architecture, marketed by Apple as the PowerPC "G3".
2324: 2322: 1144:
and entry-level servers and as such had support for
997:. The 603e was also used in accelerator cards from 49:. Unsourced material may be challenged and removed. 2299: 2297: 2032:LeCroy 2001 Test and Measurement Products Catalog 1247:, IBM kept using it in entry-level models of its 1092:Freescale has enhanced the 603e core, calling it 2156:. New Statesman Media Group Ltd. August 25, 1997 1336:respectively. The GX bus is also used in IBM's 1128:CyberstormPPC processor card for the Commodore 136:, jointly funded and staffed by engineers from 1648:"The Bus Interface for 32-Bit Microprocessors" 606:5 execution units, branch prediction. No SMP. 1790: 1788: 1786: 1148:in hardware. The 604 was used extensively in 1124:A 233 MHz Motorola PowerPC 604e mounted on a 1021:. The PowerPC 603e was also the heart of the 945:The 603 was intended to be used for portable 551: 8: 1609:List of Macintosh models grouped by CPU type 929:A 200 MHz Motorola PowerPC 603 in a ceramic 772:. It had four functional units, including a 2454:Weiss, Shlomo; Smith, James Edward (1994). 1352:Contribution to the history of Unix at Bull 1263:was the first implementation of the entire 1945:"Power Mac and Performa x200, Road Apples" 1037:. In certain digital oscilloscope series, 823:workstations and SMP servers from IBM and 558: 544: 154: 1481:. Its main feature was to incorporate an 1152:'s high-end systems and was also used in 109:Learn how and when to remove this message 2194: 2192: 2190: 1556:instruction sets. It was later renamed " 1108:SoC processor that is used in the small 811:. The PowerPC 601 was used in the first 675:line of processors) and the first Apple 574: 1620: 472: 432: 400: 236: 173: 157: 2433:"G3's - they just keep getting better" 2150:"IBM trashes PowerPC 620 system plans" 1668:Allen, M.; Becker, M (February 1993). 1594:IBM POWER Instruction Set Architecture 1180:, one branch-processing unit managing 2251:3DO/Matsushita M2 Console Information 1490:and a special development version of 628:Out-of-order execution- SMP support. 174:NXP (formerly Freescale and Motorola) 7: 1920:. Insanely Great Mac. Archived from 1895:"Performa and Power Mac x200 Issues" 1827:Linley Gwennap (February 27, 1997). 1798:, vol. 29, pp. 1440–1454, Dec. 1994. 1796:IEEE Journal of Solid-State Circuits 895:A 100 MHz Motorola PowerPC 603 in a 47:adding citations to reliable sources 1029:The BeBox is notable since it is a 2364:"Microsoft killed the PowerPC 615" 2269:. October 21, 1996. Archived from 14: 2388:. 6 November 1995. pp. 1, 94 1829:"Arthur Revitalizes PowerPC Line" 1069:, is the basis for many embedded 23: 2329:Processor Codenames – PowerPC's 1752:ISSC Digest Of Technical Papers 1061:The PowerPC 603e core, renamed 125:family was the first family of 34:needs additional citations for 2042:Stokes, Jon (August 3, 2004). 1918:"The 10 Worst Macs Ever Built" 1720:The PowerPC 601 Microprocessor 1628:Stokes, Jon (August 3, 2004). 1: 1718:Moore, C.R. (February 1993). 1400:fabless semiconductor company 1251:computers for several years. 2342:"Alternate Views of the 615" 1872:"CPUs: PowerPC 603 and 603e" 1840:. Vol. 11, no. 2. 1810:"PowerPC 603 Microprocessor" 1734:"PowerPC 601 Microprocessor" 1722:. Compcon. pp. 109–116. 1672:. Compcon. pp. 117–126. 1457:Similar to PowerPC 613, the 796:process with four levels of 16:Family of PowerPC processors 2499:Superscalar microprocessors 1513:, where they worked on the 1284:64-bit processors instead. 1051:Mark 54 Lightweight Torpedo 2520: 2175:Thompson, Tom; Ryan, Bob. 1808:James Kahle; Deene Ogden. 1778:Proceedings of COMPCON '94 1765:Proceedings of COMPCON '94 1160:servers and workstations, 1085: 2136:"NXP's PowerPC 604e page" 2116:"IBM's PowerPC 604e page" 1754:, pp. 212–213, Feb. 1994. 1599:IBM Power microprocessors 1398:On October 21, 1996, the 1146:symmetric multiprocessing 947:Apple Macintosh computers 770:symmetric multiprocessing 682:symmetric multiprocessing 2494:Motorola microprocessors 2431:Every, David K. (1999). 2408:"Charles Moore's resume" 2382:"DEC Unveils FX!32 Tech" 1209:Apple Network Server 700 871:An updated version, the 181:PowerPC e series (2006) 2484:PowerPC microprocessors 1993:Freescale Semiconductor 1989:"Freescale's 603e page" 1943:Knight, Daniel (2014). 1870:Jansen, Daniel (2014). 1388:Article at the CPUShack 1292:a capacity of 128  1035:IBM ThinkPad 800 series 836:East Fishkill, New York 744:and Motorola/Freescale 2504:32-bit microprocessors 2267:Quantum Effect Devices 2179:. Byte. Archived from 2094:10.1109/MM.1994.363071 1893:Barber, Scott (1997). 1442:Exponential Technology 1403:Quantum Effect Devices 1211: 1182:out-of-order execution 1133: 979: 970:PowerPC 603e and 603ev 951:68K emulation software 934: 916:branch prediction unit 902: 868: 819:, and in a variety of 782:memory management unit 756: 644: 314:PowerPC series (1992) 2352:on December 20, 1996. 2287:Microprocessor Report 2183:on December 20, 1996. 2060:Microprocessor Report 1837:Microprocessor Report 1812:. IBM. Archived from 1223:PowerPC 604ev "Mach5" 1206: 1123: 978:IBM PPC603ev, 200 MHz 977: 928: 894: 866: 798:aluminum interconnect 755:An 80 MHz PowerPC 601 754: 690:POWER instruction set 642: 2439:on October 10, 1999. 2013:on February 7, 2009. 1740:on February 7, 2009. 1411:Microprocessor Forum 995:Power Macintosh 6500 911:PowerPC Architecture 481:OpenPOWER Foundation 43:improve this article 2489:IBM microprocessors 2458:. Morgan Kaufmann. 2209:: 36–40. June 1995. 2177:"PowerPC 620 Soars" 1969:. Low End Mac. 1995 1924:on February 1, 2010 1178:floating-point unit 832:Burlington, Vermont 774:floating-point unit 2370:. October 1, 1998. 1855:on July 30, 2018. 1816:on August 6, 1997. 1212: 1134: 1015:radiation hardened 980: 959:PowerBook Duo 2300 949:but could not run 935: 903: 869: 757: 699:structure and SMP/ 645: 534:historic in italic 362:RAD series (1997) 218:Qor series (2008) 150:personal computers 2456:POWER and PowerPC 2420:on July 24, 2011. 2340:Halfhill, Tom R. 2273:on July 12, 2007. 2265:(Press release). 2007:"IBM's 603e page" 1697:10.1109/40.238002 1440:" in response to 1098:PowerQUICC II Pro 900:Quad Flat Package 677:Power Macintoshes 632: 631: 568: 567: 530:Cancelled in gray 144:as a part of the 119: 118: 111: 93: 2511: 2469: 2441: 2440: 2435:. Archived from 2428: 2422: 2421: 2419: 2413:. Archived from 2412: 2404: 2398: 2397: 2395: 2393: 2378: 2372: 2371: 2360: 2354: 2353: 2348:. Archived from 2337: 2331: 2326: 2317: 2312: 2306: 2301: 2292: 2291: 2281: 2275: 2274: 2259: 2253: 2248: 2242: 2241: 2239: 2238: 2232: 2226:. Archived from 2225: 2217: 2211: 2210: 2196: 2185: 2184: 2172: 2166: 2165: 2163: 2161: 2146: 2140: 2139: 2132: 2126: 2125: 2112: 2106: 2105: 2075: 2069: 2068: 2054: 2048: 2047: 2039: 2033: 2030: 2024: 2021: 2015: 2014: 2009:. Archived from 2003: 1997: 1996: 1985: 1979: 1978: 1976: 1974: 1963: 1957: 1956: 1954: 1952: 1940: 1934: 1933: 1931: 1929: 1913: 1907: 1906: 1904: 1902: 1890: 1884: 1883: 1881: 1879: 1867: 1861: 1860: 1854: 1848:. Archived from 1833: 1824: 1818: 1817: 1805: 1799: 1792: 1781: 1774: 1768: 1761: 1755: 1748: 1742: 1741: 1736:. Archived from 1730: 1724: 1723: 1715: 1709: 1708: 1680: 1674: 1673: 1665: 1659: 1658: 1652: 1644: 1638: 1637: 1625: 1415:double-precision 1300:6XX and GX buses 1156:, IBM's low-end 1154:Macintosh clones 1132:series computers 847: 701:memory coherency 686:RISC Single Chip 581:Pipeline stages 575: 560: 553: 546: 531: 443: 155: 114: 107: 103: 100: 94: 92: 51: 27: 19: 2519: 2518: 2514: 2513: 2512: 2510: 2509: 2508: 2474: 2473: 2466: 2453: 2450: 2448:Further reading 2445: 2444: 2430: 2429: 2425: 2417: 2410: 2406: 2405: 2401: 2391: 2389: 2386:Electronic News 2380: 2379: 2375: 2362: 2361: 2357: 2339: 2338: 2334: 2327: 2320: 2313: 2309: 2302: 2295: 2283: 2282: 2278: 2261: 2260: 2256: 2249: 2245: 2236: 2234: 2230: 2223: 2219: 2218: 2214: 2202:Next Generation 2198: 2197: 2188: 2174: 2173: 2169: 2159: 2157: 2148: 2147: 2143: 2134: 2133: 2129: 2114: 2113: 2109: 2077: 2076: 2072: 2056: 2055: 2051: 2046:. Ars Technica. 2041: 2040: 2036: 2031: 2027: 2022: 2018: 2005: 2004: 2000: 1987: 1986: 1982: 1972: 1970: 1967:"Performa 5200" 1965: 1964: 1960: 1950: 1948: 1942: 1941: 1937: 1927: 1925: 1916:Davison, Remy. 1915: 1914: 1910: 1900: 1898: 1892: 1891: 1887: 1877: 1875: 1869: 1868: 1864: 1852: 1831: 1826: 1825: 1821: 1807: 1806: 1802: 1793: 1784: 1775: 1771: 1762: 1758: 1749: 1745: 1732: 1731: 1727: 1717: 1716: 1712: 1682: 1681: 1677: 1667: 1666: 1662: 1650: 1646: 1645: 1641: 1627: 1626: 1622: 1617: 1585: 1570: 1543: 1528: 1479:mass production 1471: 1455: 1431: 1396: 1381:M2 game console 1366: 1361: 1359:Extended family 1302: 1257: 1225: 1201: 1118: 1090: 1084: 1059: 1031:multiprocessing 972: 931:Ball Grid Array 889: 861: 845: 815:computers from 813:Power Macintosh 762: 714: 659:instruction set 637: 573: 564: 529: 441: 115: 104: 98: 95: 52: 50: 40: 28: 17: 12: 11: 5: 2517: 2515: 2507: 2506: 2501: 2496: 2491: 2486: 2476: 2475: 2472: 2471: 2464: 2449: 2446: 2443: 2442: 2423: 2399: 2373: 2355: 2332: 2318: 2307: 2293: 2276: 2254: 2243: 2212: 2186: 2167: 2141: 2127: 2107: 2070: 2049: 2034: 2025: 2016: 1998: 1980: 1958: 1935: 1908: 1885: 1862: 1819: 1800: 1782: 1769: 1756: 1743: 1725: 1710: 1675: 1660: 1639: 1619: 1618: 1616: 1613: 1612: 1611: 1606: 1601: 1596: 1591: 1584: 1581: 1569: 1566: 1542: 1539: 1527: 1524: 1470: 1467: 1454: 1451: 1430: 1427: 1395: 1392: 1391: 1390: 1379:developed the 1365: 1362: 1360: 1357: 1356: 1355: 1301: 1298: 1288:200 MHz. 1272:in its Escala 1256: 1253: 1224: 1221: 1200: 1197: 1196: 1195: 1188:180 MHz. 1117: 1114: 1086:Main article: 1083: 1080: 1058: 1055: 1013:who makes the 971: 968: 955:PowerBook 5300 888: 885: 860: 857: 856: 855: 784:. The integer 761: 758: 713: 710: 636: 633: 630: 629: 626: 623: 619: 618: 615: 612: 608: 607: 604: 601: 597: 596: 593: 590: 586: 585: 582: 579: 572: 571:Nuclear family 569: 566: 565: 563: 562: 555: 548: 540: 537: 536: 526: 525: 524: 523: 518: 513: 508: 503: 498: 493: 488: 483: 475: 474: 470: 469: 468: 467: 460: 455: 450: 445: 435: 434: 430: 429: 428: 427: 420: 413: 403: 402: 398: 397: 396: 395: 385: 384: 383: 382: 381: 380: 375: 370: 360: 359: 358: 355: 346: 339: 332: 327: 322: 311: 310: 309: 308: 303: 298: 293: 288: 283: 276: 269: 262: 255: 247:series (1990) 239: 238: 234: 233: 232: 231: 230: 229: 224: 215: 214: 213: 212: 207: 202: 197: 192: 187: 176: 175: 171: 170: 117: 116: 31: 29: 22: 15: 13: 10: 9: 6: 4: 3: 2: 2516: 2505: 2502: 2500: 2497: 2495: 2492: 2490: 2487: 2485: 2482: 2481: 2479: 2467: 2461: 2457: 2452: 2451: 2447: 2438: 2434: 2427: 2424: 2416: 2409: 2403: 2400: 2387: 2383: 2377: 2374: 2369: 2365: 2359: 2356: 2351: 2347: 2343: 2336: 2333: 2330: 2325: 2323: 2319: 2316: 2311: 2308: 2305: 2300: 2298: 2294: 2289: 2288: 2280: 2277: 2272: 2268: 2264: 2258: 2255: 2252: 2247: 2244: 2233:on 2016-08-07 2229: 2222: 2216: 2213: 2208: 2207:Imagine Media 2204: 2203: 2195: 2193: 2191: 2187: 2182: 2178: 2171: 2168: 2155: 2151: 2145: 2142: 2137: 2131: 2128: 2123: 2122: 2117: 2111: 2108: 2103: 2099: 2095: 2091: 2087: 2083: 2082: 2074: 2071: 2066: 2062: 2061: 2053: 2050: 2045: 2038: 2035: 2029: 2026: 2020: 2017: 2012: 2008: 2002: 1999: 1994: 1990: 1984: 1981: 1968: 1962: 1959: 1947:. Low End Mac 1946: 1939: 1936: 1923: 1919: 1912: 1909: 1897:. Low End Mac 1896: 1889: 1886: 1874:. Low End Mac 1873: 1866: 1863: 1859: 1851: 1847: 1843: 1839: 1838: 1830: 1823: 1820: 1815: 1811: 1804: 1801: 1797: 1791: 1789: 1787: 1783: 1779: 1773: 1770: 1766: 1760: 1757: 1753: 1747: 1744: 1739: 1735: 1729: 1726: 1721: 1714: 1711: 1706: 1702: 1698: 1694: 1690: 1686: 1679: 1676: 1671: 1664: 1661: 1656: 1649: 1643: 1640: 1635: 1631: 1624: 1621: 1614: 1610: 1607: 1605: 1602: 1600: 1597: 1595: 1592: 1590: 1587: 1586: 1582: 1580: 1578: 1574: 1573:"PowerPC 641" 1567: 1565: 1563: 1559: 1555: 1551: 1547: 1546:"PowerPC 630" 1540: 1538: 1536: 1532: 1531:"PowerPC 625" 1525: 1523: 1520: 1516: 1512: 1508: 1504: 1500: 1495: 1493: 1489: 1484: 1480: 1476: 1468: 1466: 1464: 1460: 1459:"PowerPC 614" 1452: 1450: 1447: 1443: 1439: 1435: 1434:"PowerPC 613" 1428: 1426: 1422: 1420: 1416: 1412: 1408: 1404: 1401: 1393: 1389: 1386: 1385: 1384: 1382: 1378: 1374: 1371: 1363: 1358: 1353: 1350: 1349: 1348: 1346: 1343: 1339: 1335: 1331: 1327: 1323: 1319: 1315: 1311: 1307: 1299: 1297: 1295: 1289: 1285: 1283: 1279: 1275: 1271: 1266: 1262: 1254: 1252: 1250: 1246: 1241: 1238: 1234: 1230: 1229:PowerPC 604ev 1222: 1220: 1217: 1210: 1205: 1198: 1194: 1191: 1190: 1189: 1185: 1183: 1179: 1175: 1174:integer units 1170: 1167:The 604 is a 1165: 1163: 1159: 1155: 1151: 1147: 1143: 1139: 1131: 1127: 1122: 1115: 1113: 1111: 1107: 1103: 1099: 1095: 1089: 1081: 1079: 1076: 1072: 1071:PowerQUICC II 1068: 1064: 1056: 1054: 1052: 1048: 1044: 1040: 1036: 1032: 1028: 1024: 1020: 1016: 1012: 1008: 1004: 1000: 996: 991: 989: 988:PowerPC 603ev 985: 976: 969: 967: 964: 963:Performa 5200 960: 956: 952: 948: 943: 941: 932: 927: 923: 921: 917: 912: 908: 901: 898: 893: 886: 884: 882: 878: 874: 865: 858: 854: 851: 850: 849: 844: 843: 842:Time Magazine 837: 833: 828: 826: 822: 818: 814: 810: 807: 803: 799: 795: 789: 787: 783: 779: 775: 771: 767: 759: 753: 749: 747: 743: 739: 734: 731: 726: 724: 719: 711: 709: 707: 702: 698: 695: 691: 687: 683: 678: 674: 671: 667: 664: 660: 657: 654: 650: 641: 634: 627: 624: 621: 620: 616: 613: 610: 609: 605: 602: 599: 598: 594: 591: 588: 587: 583: 580: 577: 576: 570: 561: 556: 554: 549: 547: 542: 541: 539: 538: 535: 528: 527: 522: 519: 517: 514: 512: 509: 507: 504: 502: 499: 497: 494: 492: 489: 487: 484: 482: 479: 478: 477: 476: 473:Related links 471: 466: 465: 461: 459: 456: 454: 451: 449: 446: 444: 439: 438: 437: 436: 431: 426: 425: 421: 419: 418: 414: 412: 411: 407: 406: 405: 404: 399: 393: 391: 387: 386: 379: 376: 374: 371: 369: 368: 364: 363: 361: 356: 353: 352: 350: 347: 345: 344: 340: 338: 337: 333: 331: 328: 326: 323: 321: 320: 316: 315: 313: 312: 307: 304: 302: 299: 297: 294: 292: 289: 287: 284: 282: 281: 277: 275: 274: 270: 268: 267: 263: 261: 260: 256: 254: 253: 249: 248: 246: 243: 242: 241: 240: 235: 228: 225: 223: 220: 219: 217: 216: 211: 208: 206: 203: 201: 198: 196: 193: 191: 188: 186: 183: 182: 180: 179: 178: 177: 172: 169:architectures 168: 164: 160: 156: 153: 151: 147: 143: 139: 135: 134:Austin, Texas 131: 128: 124: 113: 110: 102: 91: 88: 84: 81: 77: 74: 70: 67: 63: 60: –  59: 58:"PowerPC 600" 55: 54:Find sources: 48: 44: 38: 37: 32:This article 30: 26: 21: 20: 2455: 2437:the original 2426: 2415:the original 2402: 2390:. Retrieved 2385: 2376: 2368:The Register 2358: 2350:the original 2335: 2310: 2285: 2279: 2271:the original 2257: 2246: 2235:. Retrieved 2228:the original 2215: 2200: 2181:the original 2170: 2158:. Retrieved 2154:Tech Monitor 2153: 2144: 2130: 2119: 2110: 2085: 2079: 2073: 2064: 2058: 2052: 2037: 2028: 2019: 2011:the original 2001: 1983: 1971:. Retrieved 1961: 1949:. Retrieved 1938: 1926:. Retrieved 1922:the original 1911: 1899:. Retrieved 1888: 1876:. Retrieved 1865: 1856: 1850:the original 1835: 1822: 1814:the original 1803: 1795: 1780:, Feb. 1994. 1777: 1772: 1767:, Feb. 1994. 1764: 1759: 1751: 1746: 1738:the original 1728: 1719: 1713: 1691:(5): 54–68. 1688: 1684: 1678: 1669: 1663: 1642: 1634:Ars Technica 1623: 1576: 1572: 1571: 1545: 1544: 1530: 1529: 1496: 1474: 1472: 1463:PowerPC 7400 1458: 1456: 1433: 1432: 1423: 1407:PowerPC 603q 1406: 1397: 1394:PowerPC 603q 1375: 1369: 1367: 1347:mainframes. 1325: 1321: 1320:, and later 1313: 1305: 1303: 1290: 1286: 1260: 1258: 1242: 1236: 1232: 1228: 1226: 1216:PowerPC 604e 1215: 1213: 1199:PowerPC 604e 1186: 1166: 1142:workstations 1137: 1135: 1093: 1091: 1088:PowerPC e300 1062: 1060: 992: 987: 984:PowerPC 603e 983: 981: 944: 936: 906: 904: 877:PowerPC 601+ 876: 873:PowerPC 601v 872: 870: 859:PowerPC 601v 840: 829: 790: 778:integer unit 763: 748:processors. 735: 727: 722: 715: 648: 646: 622:PowerPC 620 611:PowerPC 604 600:PowerPC 603 589:PowerPC 601 533: 486:AIM alliance 462: 422: 415: 408: 401:IBM/Nintendo 388: 365: 341: 334: 318: 317: 278: 271: 264: 257: 250: 146:AIM alliance 122: 120: 105: 96: 86: 79: 72: 65: 53: 41:Please help 36:verification 33: 1589:PowerPC 970 1575:, codename 1568:PowerPC 641 1541:PowerPC 630 1526:PowerPC 625 1475:PowerPC 615 1469:PowerPC 615 1453:PowerPC 614 1438:PowerPC 750 1429:PowerPC 613 1370:PowerPC 602 1364:PowerPC 602 1270:Groupe Bull 1261:PowerPC 620 1255:PowerPC 620 1245:PowerPC 750 1169:superscalar 1138:PowerPC 604 1116:PowerPC 604 940:PowerPC 750 907:PowerPC 603 887:PowerPC 603 825:Groupe Bull 666:workstation 649:PowerPC 601 635:PowerPC 601 123:PowerPC 600 2478:Categories 2465:1558602798 2392:12 October 2237:2016-07-24 2081:IEEE Micro 1685:IEEE Micro 1615:References 1130:Amiga 4000 1112:computer. 1043:satellites 746:PowerQUICC 448:PWRficient 130:processors 69:newspapers 2160:March 20, 1604:Power ISA 1511:Transmeta 1507:Microsoft 1409:" at the 1096:, in the 1067:Freescale 1011:Honeywell 933:packaging 897:wire bond 881:EDA tools 501:Power.org 496:Blue Gene 167:Power ISA 2290:: 22–23. 2102:11603864 2088:(5): 8. 1928:July 30, 1846:51808955 1705:26895845 1655:Motorola 1583:See also 1577:Habanero 1345:System z 1237:"Mach 5" 1106:MPC5200B 1017:variant 1001:for the 802:L1 cache 786:pipeline 766:L2 cache 706:tape-out 424:Espresso 417:Broadway 142:Motorola 99:May 2023 1973:29 July 1951:29 July 1901:29 July 1878:29 July 1657:. 1997. 1554:PowerPC 1503:Pentium 1316:of the 1306:6XX bus 1249:RS/6000 1158:RS/6000 1047:Iridium 1045:in the 1027:Be Inc. 821:RS/6000 809:Pentium 723:60x bus 712:60x bus 663:RS/6000 656:PowerPC 521:AltiVec 378:RAD5500 367:RAD6000 351:(2010) 306:Power10 227:Qorivva 163:PowerPC 127:PowerPC 83:scholar 2462:  2199:"M2". 2100:  1858:sense. 1844:  1703:  1558:POWER3 1515:Crusoe 1334:POWER6 1330:POWER5 1318:POWER4 1314:GX bus 1282:POWER3 1265:64-bit 1176:, one 1126:Phase5 1039:LeCroy 999:Phase5 760:Design 673:POWER2 653:32-bit 394:(1996) 392:series 373:RAD750 301:POWER9 296:POWER8 291:POWER7 286:POWER6 280:POWER5 273:POWER4 266:POWER3 259:POWER2 252:POWER1 165:, and 85:  78:  71:  64:  56:  2418:(PDF) 2411:(PDF) 2231:(PDF) 2224:(PDF) 2205:(6). 2098:S2CID 1853:(PDF) 1842:S2CID 1832:(PDF) 1701:S2CID 1651:(PDF) 1562:Apple 1550:POWER 1519:FX!32 1499:Intel 1488:Minix 1473:The " 1419:R4600 1162:Amiga 1150:Apple 1110:EFIKA 1025:from 1023:BeBox 1019:RHPPC 1007:Atmel 1003:Amiga 846:' 817:Apple 806:Intel 776:, an 730:ASICs 718:88110 584:Misc 458:Xenon 442:Titan 433:Other 410:Gekko 245:Power 222:QorIQ 210:e6500 205:e5500 159:POWER 90:JSTOR 76:books 2460:ISBN 2394:2022 2346:Byte 2162:2021 2067:(5). 1975:2018 1953:2018 1930:2018 1903:2018 1880:2018 1552:and 1535:RS64 1492:OS/2 1446:x704 1368:The 1342:z196 1340:and 1332:and 1326:GX++ 1324:and 1310:NUMA 1280:and 1278:RS64 1274:UNIX 1259:The 1233:604r 1227:The 1214:The 1136:The 1094:e300 1082:e300 1009:and 957:and 905:The 834:and 794:CMOS 768:and 647:The 578:CPU 516:CHRP 511:PReP 506:PAPR 491:RISC 464:X704 453:Cell 390:RS64 336:74xx 200:e600 195:e500 190:e300 185:e200 140:and 121:The 62:news 2121:IBM 2090:doi 1693:doi 1501:'s 1483:x86 1444:'s 1377:3DO 1338:z10 1328:in 1322:GX+ 1235:or 1102:SoC 1075:SoC 1065:by 920:SMP 875:or 697:bus 694:I/O 670:IBM 357:A2O 354:A2I 343:970 330:7xx 325:4xx 319:6xx 237:IBM 138:IBM 45:by 2480:: 2384:. 2366:. 2344:. 2321:^ 2296:^ 2189:^ 2152:. 2118:. 2096:. 2086:14 2084:. 2063:. 1991:. 1834:. 1785:^ 1699:. 1689:13 1687:. 1653:. 1632:. 1564:. 1494:. 1294:MB 1231:, 1063:G2 1057:G2 1053:. 922:. 827:. 742:G4 740:, 738:G3 625:5 614:6 603:4 592:4 532:, 349:A2 161:, 2468:. 2396:. 2240:. 2164:. 2138:. 2124:. 2104:. 2092:: 2065:8 1995:. 1977:. 1955:. 1932:. 1905:. 1882:. 1707:. 1695:: 1636:. 559:e 552:t 545:v 112:) 106:( 101:) 97:( 87:· 80:· 73:· 66:· 39:.

Index


verification
improve this article
adding citations to reliable sources
"PowerPC 600"
news
newspapers
books
scholar
JSTOR
Learn how and when to remove this message
PowerPC
processors
Austin, Texas
IBM
Motorola
AIM alliance
personal computers
POWER
PowerPC
Power ISA
e200
e300
e500
e600
e5500
e6500
QorIQ
Qorivva
Power

Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.