Knowledge (XXG)

Calotype

Source đź“ť

38: 185:. First, "iodised paper" was made by brushing one side of a sheet of high-quality writing paper with a solution of silver nitrate, drying it, dipping it in a solution of potassium iodide, then drying it again. At this stage, the balance of the chemicals was such that the paper was practically insensitive to light and could be stored indefinitely. When wanted for use, the side initially brushed with silver nitrate was now brushed with a "gallo-nitrate of silver" solution consisting of silver nitrate, 257: 236:, was normally used for that purpose. It was simpler and less expensive, and Talbot himself considered the appearance of salted paper prints to be more attractive. The longer exposure required to make a salted print was at worst a minor inconvenience when making a contact print by sunlight. Calotype negatives were often impregnated with wax to improve their transparency and make the grain of the paper less conspicuous in the prints. 2283: 2294: 297:
In addition, the calotype produced a less clear image than the daguerreotype. The use of paper as a negative meant that the texture and fibers of the paper were visible in prints made from it, leading to an image that was slightly grainy or fuzzy compared to daguerreotypes, which were usually sharp
532:
Impressed by light: British photographs from paper negatives, 1840–1860. Accompanies the exhibition 'Impressed by light – British photographs from paper negatives, 1840–1860' held at the Metropolitan Museum of Art, New York, September 24 – December 30, 2007; at the National Gallery of Art,
193:, then lightly blotted and exposed in the camera. Development was effected by brushing on more of the "gallo-nitrate of silver" solution while gently warming the paper. When development was complete, the calotype was rinsed, blotted, then either stabilized by washing it in a solution of 285:
his processes in England and beyond. Unlike Talbot, Daguerre who had been granted a stipend by the French state in exchange for making his process publicly available, did not patent his invention. In Scotland, where the English patent law was not applicable at the time, members of the
247:
in 1839. Talbot was, however, the first to apply it to a paper-based process and to a negative-positive process, thereby pioneering the various developed-out negative-positive processes which have dominated non-electronic photography up to the present.
153:
had to be produced in the camera, which could be done in a minute or two if the subject was in bright sunlight. The paper, shielded from further exposure to daylight, was then removed from the camera and the latent image was chemically
294:, the Calotype Society was organized in London around 1847 attracting a dozen enthusiasts. In 1853, twelve years after the introduction of paper-negative photography to the public, Talbot's patent restriction was lifted. 213:
and commonly called "hypo", which dissolved the silver iodide and allowed it to be entirely washed out, leaving only the silver particles of the developed image and making the calotype completely insensitive to light.
306:
and on the European continent outside France in the 1850s, especially among the amateur calotypists, who prized the aesthetics of calotypes and also wanted to differentiate from commercial photographers, until the
467: 2319: 37: 134:
process, i.e., the paper had to be exposed in the camera until the image was fully visible. A very long exposure—typically an hour or more—was required to produce an acceptable
2251: 243:
during the 1820s involved the chemical development of a latent image, as did the widely used daguerreotype process introduced to the public by Niépce's partner and successor
229:. This gave it an important advantage over the daguerreotype process, which produced an opaque original positive that could be duplicated only by copying it with a camera. 2198: 315:
combining the sharpness of a daguerreotype with the replicability of a calotype later in the nineteenth century. British photographers also brought the calotype to
281:
Despite their flexibility and the ease with which they could be made, calotypes did not displace the daguerreotype. In part, this was the result of Talbot having
81: 2241: 2193: 2324: 1978: 239:
Talbot is sometimes erroneously credited with introducing the principle of latent image development. The bitumen process used in private experiments by
634: 843: 232:
Although calotype paper could be used to make positive prints from calotype negatives, Talbot's earlier silver chloride paper, commonly called
949: 696: 621:
Photography: The importance of its applications in preserving pictorial records. Containing a practical description of the Talbotype process.
2246: 2188: 1740: 1949: 451: 482: 1916: 2208: 1702: 654: 1881: 1364: 1151: 554: 275: 639:. New York: The Metropolitan Museum of Art, 2007. Available online, including a biographical dictionary of 500 calotypists. 1790: 1722: 1475: 997: 2218: 2213: 2223: 1500: 1002: 1642: 1954: 1545: 838: 381:"Daguerreotypes – Time Line of the Daguerreian Era – Prints & Photographs Online Catalog (Library of Congress)" 659:, exhibition catalog fully online as PDF from The Metropolitan Museum of Art, which contains material on calotype. 1921: 1871: 1831: 1684: 1570: 1520: 1212: 1156: 1012: 764: 689: 2122: 1717: 1445: 1237: 669: 108: 96: 2087: 1891: 1795: 1659: 1510: 1342: 1247: 890: 808: 774: 287: 260: 155: 2298: 2142: 2117: 1926: 1911: 1846: 1826: 1732: 1654: 1485: 1404: 1399: 1374: 1302: 1292: 1242: 1232: 1166: 1129: 1057: 1032: 222: 135: 92: 494: 240: 2203: 1998: 1906: 1901: 1886: 1876: 1851: 1836: 1697: 1630: 1600: 1560: 1470: 1460: 1297: 1176: 1139: 1062: 533:
Washington, D.C., February 3 – May 4, 2008; and at the Musée d'Orsay, Paris, May 26 – September 7, 2008.
28: 1755: 130:, which darkened in proportion to its exposure to light. This early "photogenic drawing" process was a 2287: 1896: 1866: 1861: 1856: 1841: 1495: 1414: 1384: 1337: 1282: 1272: 1197: 1022: 969: 682: 65: 290:
and other Scottish early photographers successfully adopted the paper-negative photo technology. In
1993: 1966: 1936: 1590: 1505: 1409: 1287: 1267: 1257: 1252: 1222: 1114: 1027: 855: 850: 206: 2137: 1800: 1750: 1575: 1430: 1389: 1369: 1307: 1262: 1227: 1202: 759: 724: 324: 312: 202: 69: 42: 2107: 2043: 2030: 1961: 1625: 1550: 1540: 1530: 1515: 1379: 1347: 1207: 1042: 813: 550: 511:"Photographic Processes: Calotypes (Prints and Photographs Reading Room, Library of Congress)" 447: 350: 308: 264: 194: 614:
W H Fox Talbot: Scientist, photographer, classical scholar 1800 – 1877: A further assessment.
2162: 2112: 2070: 2055: 2038: 2008: 2003: 1647: 1605: 1555: 1317: 1277: 1217: 1072: 531: 495:
Impressed by Light: British Photographs from Paper Negatives, 1840–1860: Exhibition Overview
380: 256: 182: 46: 2167: 2075: 1692: 1440: 1322: 1017: 964: 959: 927: 912: 870: 127: 126:
Talbot made his first successful camera photographs in 1835 using paper sensitised with
1944: 1770: 1712: 1480: 1161: 1067: 1052: 1047: 942: 749: 739: 729: 440: 303: 244: 198: 178: 158:
into a fully visible image. This major improvement was introduced to the public as the
510: 149:
process introduced in 1839), in which only an extremely faint or completely invisible
2313: 2097: 2065: 2050: 2015: 1775: 1620: 1585: 1535: 1171: 1144: 1124: 1092: 1037: 355: 340: 226: 201:
in a condition such that it would only slightly discolour when exposed to light, or "
174: 170: 146: 84:
limit the ability of this early process to record low contrast details and textures.
77: 2263: 2157: 2152: 2147: 2102: 1988: 1983: 1637: 1357: 1327: 1109: 1104: 1087: 932: 754: 744: 734: 423:, Henry Fox Talbot: "Improvement in Photographic Pictures" filing date Jun 26, 1847 328: 150: 483:
Nature Exposed: Photography as Eyewitness in Victorian Science by Jennifer Tucker
402: 2268: 2132: 2127: 2060: 1973: 1810: 1785: 1615: 1610: 1595: 1450: 1332: 1312: 1181: 1082: 974: 937: 907: 860: 828: 823: 784: 705: 623:
London: Hering & Remington; Peterborough, T. Chadwell & J. Clarke, 1855.
401:
Hutchins, Laura A.; May, Robert E. (2011). "The Preservation of Finger Ridges".
320: 218: 190: 186: 2258: 1780: 1760: 1674: 1664: 1525: 1490: 1465: 1394: 1134: 1119: 1099: 981: 917: 833: 779: 299: 649: 420: 274:; medium: calotype print, size: 19.60 x 14.50 cm; from the collection of the 2172: 1745: 1707: 1669: 1565: 1455: 922: 885: 880: 865: 818: 801: 345: 1580: 1077: 1007: 875: 796: 791: 17: 636:
Impressed by Light: British Photographs from Paper Negatives, 1840–1860.
607:
Primitive Photography: A Guide to Making Cameras, Lenses, and Calotypes.
1805: 954: 900: 628:
Basic Photography — a manual for the training of fashion photographers.
468:
Impressed by Light: British Photographs from Paper Negatives, 1840–1860
360: 291: 1352: 769: 719: 547:
The Duleep Singhs: The Photograph Album of Queen Victoria's Maharajah
282: 113: 101: 586:
Photography: including the Daguerreotype, Calotype & Chrysotype
575:
William Henry Fox Talbot pioneer of photography and man of science.
2020: 1435: 895: 602:
New York: Dover Publications, 1978. Translated by Edward Epstean.
316: 255: 73: 36: 656:
All the Mighty World: The Photographs of Roger Fenton, 1852–1860
472:
Transcript of the opening speech, The Metropolitan Museum of Art
678: 674: 663: 410:. NIJ and International Association for Identification. 2320:
Photographic processes dating from the 19th century
2234: 2181: 2086: 2029: 1935: 1819: 1731: 1683: 1423: 1190: 990: 712: 197:, which converted the remaining silver iodide into 439: 302:that were made from them—remained popular in the 141:In late 1840, Talbot worked out a very different 535:New York: The Metropolitan Museum of Art, 2007. 433: 431: 429: 665:Photographic Exhibitions in Britain, 1839–1865 595:. Boston: Gootine, London Scholar Press, 1980. 690: 593:Fox Talbot & the invention of photography 82:Paper texture effects in calotype photography 8: 221:original negative image from which multiple 2242:Conservation and restoration of photographs 298:and clear. Nevertheless, calotypes—and the 1979:Comparison of digital and film photography 697: 683: 675: 2199:Photographs considered the most important 549:. Stroud: Sutton Publishing. p. 24. 442:The Origins of Photojournalism in America 588:. London: H. Renshaw, 1842, 2nd edition. 372: 633:Taylor, Roger, with Larry J. Schaaf. 530:Taylor, Roger, with Larry J. Schaaf. 7: 145:process (a concept pioneered by the 2194:Museums devoted to one photographer 650:The Calotype Society (flickr group) 1741:Timeline of photography technology 25: 2325:Photography in the United Kingdom 630:Frankfurt/M.: Jentzen oHG, 1992. 582:The Calotype familiarly explained 446:. Smithsonian Institution Press. 2292: 2282: 2281: 577:London: Hutchinson Benham, 1977. 217:The calotype process produced a 2293: 466:Roger Taylor, Guest curator of 319:, where, for example, in 1848, 499:The Metropolitan Museum of Art 438:Carlebach, Michael L. (1992). 327:, took the first image of the 276:National Galleries of Scotland 1: 1791:Painted photography backdrops 1723:Golden triangle (composition) 998:35 mm equivalent focal length 268: 177:, created by the reaction of 50: 114: 102: 1501:Intentional camera movement 404:The Finger print Sourcebook 2341: 2189:Most expensive photographs 1546:Multi-exposure HDR capture 107: 95: 34:Early photographic process 26: 2277: 670:1705 records for calotype 2123:Digital image processing 225:could be made by simple 70:William Henry Fox Talbot 47:William Henry Fox Talbot 1796:Photography and the law 612:Lassam, and Seabourne. 600:History of Photography. 288:Edinburgh Calotype Club 205:" in a hot solution of 2143:Gelatin silver process 1167:Science of photography 1152:Photographic processes 1130:Perspective distortion 329:Maharajah Duleep Singh 278: 173:in calotype paper was 68:introduced in 1841 by 54: 1601:Schlieren photography 1140:Photographic printing 1063:Exposure compensation 545:Bance, Peter (2004). 513:. Loc.gov. 2011-08-30 311:enabled both to make 259: 40: 29:hot metal typesetting 1385:Straight photography 1023:Chromatic aberration 598:Eder, Josef Maria. 211:hyposulphite of soda 169:The light-sensitive 106:), "beautiful", and 66:photographic process 27:For "hot type", see 2252:photographic plates 1937:Digital photography 1115:Hyperfocal distance 1028:Circle of confusion 619:Marshall, F. A. S. 323:, a surgeon in the 300:salted paper prints 207:sodium thiosulphate 53:1843 calotype print 1756:Autochrome Lumière 1751:Analog photography 1576:Pigeon photography 1365:Social documentary 844:discontinued films 662:Research database 609:Focal Press, 2002. 573:Aronold, H. J. P. 325:East India Company 279: 265:Hill & Adamson 55: 2307: 2306: 2108:Collodion process 2044:Chromogenic print 2031:Color photography 1541:Multiple exposure 1516:Lo-fi photography 1043:Color temperature 351:Collodion process 309:collodion process 195:potassium bromide 166:process in 1841. 118:), "impression". 16:(Redirected from 2332: 2296: 2295: 2285: 2284: 2163:Print permanence 2113:Cross processing 2071:CMYK color model 2056:Color management 2009:Foveon X3 sensor 2004:Three-CCD camera 1648:Miniature faking 1606:Sabattier effect 1218:Astrophotography 1073:Zebra patterning 699: 692: 685: 676: 561: 560: 542: 536: 528: 522: 521: 519: 518: 507: 501: 492: 486: 480: 474: 464: 458: 457: 445: 435: 424: 418: 412: 411: 409: 398: 392: 391: 389: 388: 377: 273: 270: 241:NicĂ©phore NiĂ©pce 227:contact printing 209:, then known as 183:potassium iodide 117: 111: 105: 99: 52: 41:The Building of 21: 2340: 2339: 2335: 2334: 2333: 2331: 2330: 2329: 2310: 2309: 2308: 2303: 2273: 2230: 2177: 2168:Push processing 2089: 2082: 2076:RGB color model 2025: 1931: 1815: 1727: 1693:Diagonal method 1679: 1419: 1323:Photojournalism 1186: 1018:Black-and-white 986: 965:Slide projector 960:Movie projector 839:available films 708: 703: 668:which contains 646: 570: 568:Further reading 565: 564: 557: 544: 543: 539: 529: 525: 516: 514: 509: 508: 504: 493: 489: 481: 477: 465: 461: 454: 437: 436: 427: 419: 415: 407: 400: 399: 395: 386: 384: 379: 378: 374: 369: 337: 313:glass negatives 271: 254: 128:silver chloride 124: 91:comes from the 43:Nelson's Column 35: 32: 23: 22: 15: 12: 11: 5: 2338: 2336: 2328: 2327: 2322: 2312: 2311: 2305: 2304: 2302: 2301: 2290: 2278: 2275: 2274: 2272: 2271: 2266: 2261: 2256: 2255: 2254: 2249: 2238: 2236: 2232: 2231: 2229: 2228: 2227: 2226: 2221: 2216: 2211: 2201: 2196: 2191: 2185: 2183: 2179: 2178: 2176: 2175: 2170: 2165: 2160: 2155: 2150: 2145: 2140: 2135: 2130: 2125: 2120: 2115: 2110: 2105: 2100: 2094: 2092: 2084: 2083: 2081: 2080: 2079: 2078: 2073: 2068: 2063: 2053: 2048: 2047: 2046: 2035: 2033: 2027: 2026: 2024: 2023: 2018: 2013: 2012: 2011: 2006: 2001: 1996: 1986: 1981: 1976: 1971: 1970: 1969: 1964: 1959: 1958: 1957: 1945:Digital camera 1941: 1939: 1933: 1932: 1930: 1929: 1924: 1919: 1914: 1909: 1904: 1899: 1894: 1889: 1884: 1879: 1874: 1869: 1864: 1859: 1854: 1849: 1844: 1839: 1834: 1829: 1823: 1821: 1817: 1816: 1814: 1813: 1808: 1803: 1798: 1793: 1788: 1783: 1778: 1773: 1771:Camera obscura 1768: 1763: 1758: 1753: 1748: 1743: 1737: 1735: 1729: 1728: 1726: 1725: 1720: 1715: 1713:Rule of thirds 1710: 1705: 1700: 1695: 1689: 1687: 1681: 1680: 1678: 1677: 1672: 1667: 1662: 1657: 1652: 1651: 1650: 1640: 1635: 1634: 1633: 1623: 1618: 1613: 1608: 1603: 1598: 1593: 1588: 1583: 1578: 1573: 1568: 1563: 1558: 1553: 1548: 1543: 1538: 1533: 1528: 1523: 1518: 1513: 1508: 1503: 1498: 1493: 1488: 1483: 1481:Harris shutter 1478: 1476:Hand-colouring 1473: 1468: 1463: 1458: 1453: 1448: 1443: 1438: 1433: 1427: 1425: 1421: 1420: 1418: 1417: 1412: 1407: 1402: 1397: 1392: 1387: 1382: 1377: 1372: 1367: 1362: 1361: 1360: 1350: 1345: 1340: 1335: 1330: 1325: 1320: 1315: 1310: 1305: 1300: 1295: 1290: 1285: 1280: 1275: 1270: 1265: 1260: 1255: 1250: 1245: 1240: 1235: 1230: 1225: 1220: 1215: 1210: 1205: 1200: 1194: 1192: 1188: 1187: 1185: 1184: 1179: 1174: 1169: 1164: 1162:Red-eye effect 1159: 1154: 1149: 1148: 1147: 1137: 1132: 1127: 1122: 1117: 1112: 1107: 1102: 1097: 1096: 1095: 1090: 1080: 1075: 1070: 1068:Exposure value 1065: 1060: 1055: 1053:Depth of focus 1050: 1048:Depth of field 1045: 1040: 1035: 1030: 1025: 1020: 1015: 1010: 1005: 1000: 994: 992: 988: 987: 985: 984: 979: 978: 977: 967: 962: 957: 952: 947: 946: 945: 940: 935: 930: 925: 920: 915: 905: 904: 903: 898: 893: 888: 883: 878: 873: 868: 863: 853: 848: 847: 846: 841: 836: 831: 826: 821: 811: 806: 805: 804: 799: 789: 788: 787: 782: 777: 772: 767: 762: 757: 752: 747: 742: 737: 732: 727: 716: 714: 710: 709: 704: 702: 701: 694: 687: 679: 673: 672: 660: 652: 645: 644:External links 642: 641: 640: 631: 626:Meier, Alf B. 624: 617: 610: 603: 596: 589: 580:Baxter, W. R. 578: 569: 566: 563: 562: 555: 537: 523: 502: 487: 475: 459: 452: 425: 421:US Patent 5171 413: 393: 371: 370: 368: 365: 364: 363: 358: 353: 348: 343: 336: 333: 304:United Kingdom 253: 250: 245:Louis Daguerre 199:silver bromide 179:silver nitrate 143:developing-out 123: 120: 33: 24: 14: 13: 10: 9: 6: 4: 3: 2: 2337: 2326: 2323: 2321: 2318: 2317: 2315: 2300: 2291: 2289: 2280: 2279: 2276: 2270: 2267: 2265: 2262: 2260: 2257: 2253: 2250: 2248: 2245: 2244: 2243: 2240: 2239: 2237: 2233: 2225: 2222: 2220: 2217: 2215: 2212: 2210: 2207: 2206: 2205: 2204:Photographers 2202: 2200: 2197: 2195: 2192: 2190: 2187: 2186: 2184: 2180: 2174: 2171: 2169: 2166: 2164: 2161: 2159: 2156: 2154: 2151: 2149: 2146: 2144: 2141: 2139: 2136: 2134: 2131: 2129: 2126: 2124: 2121: 2119: 2116: 2114: 2111: 2109: 2106: 2104: 2101: 2099: 2098:Bleach bypass 2096: 2095: 2093: 2091: 2085: 2077: 2074: 2072: 2069: 2067: 2066:primary color 2064: 2062: 2059: 2058: 2057: 2054: 2052: 2051:Reversal film 2049: 2045: 2042: 2041: 2040: 2037: 2036: 2034: 2032: 2028: 2022: 2019: 2017: 2016:Image sharing 2014: 2010: 2007: 2005: 2002: 2000: 1997: 1995: 1992: 1991: 1990: 1987: 1985: 1982: 1980: 1977: 1975: 1972: 1968: 1965: 1963: 1960: 1956: 1953: 1952: 1951: 1948: 1947: 1946: 1943: 1942: 1940: 1938: 1934: 1928: 1925: 1923: 1920: 1918: 1917:United States 1915: 1913: 1910: 1908: 1905: 1903: 1900: 1898: 1895: 1893: 1890: 1888: 1885: 1883: 1880: 1878: 1875: 1873: 1870: 1868: 1865: 1863: 1860: 1858: 1855: 1853: 1850: 1848: 1845: 1843: 1840: 1838: 1835: 1833: 1830: 1828: 1825: 1824: 1822: 1818: 1812: 1809: 1807: 1804: 1802: 1799: 1797: 1794: 1792: 1789: 1787: 1784: 1782: 1779: 1777: 1776:Daguerreotype 1774: 1772: 1769: 1767: 1764: 1762: 1759: 1757: 1754: 1752: 1749: 1747: 1744: 1742: 1739: 1738: 1736: 1734: 1730: 1724: 1721: 1719: 1716: 1714: 1711: 1709: 1706: 1704: 1701: 1699: 1696: 1694: 1691: 1690: 1688: 1686: 1682: 1676: 1673: 1671: 1668: 1666: 1663: 1661: 1658: 1656: 1653: 1649: 1646: 1645: 1644: 1641: 1639: 1636: 1632: 1629: 1628: 1627: 1624: 1622: 1621:Stopping down 1619: 1617: 1614: 1612: 1609: 1607: 1604: 1602: 1599: 1597: 1594: 1592: 1589: 1587: 1586:Rephotography 1584: 1582: 1579: 1577: 1574: 1572: 1569: 1567: 1564: 1562: 1559: 1557: 1554: 1552: 1549: 1547: 1544: 1542: 1539: 1537: 1534: 1532: 1529: 1527: 1524: 1522: 1521:Long-exposure 1519: 1517: 1514: 1512: 1509: 1507: 1504: 1502: 1499: 1497: 1494: 1492: 1489: 1487: 1484: 1482: 1479: 1477: 1474: 1472: 1469: 1467: 1464: 1462: 1459: 1457: 1454: 1452: 1449: 1447: 1444: 1442: 1439: 1437: 1434: 1432: 1429: 1428: 1426: 1422: 1416: 1413: 1411: 1408: 1406: 1403: 1401: 1398: 1396: 1393: 1391: 1388: 1386: 1383: 1381: 1378: 1376: 1373: 1371: 1368: 1366: 1363: 1359: 1356: 1355: 1354: 1351: 1349: 1346: 1344: 1341: 1339: 1336: 1334: 1331: 1329: 1326: 1324: 1321: 1319: 1316: 1314: 1311: 1309: 1306: 1304: 1301: 1299: 1296: 1294: 1291: 1289: 1286: 1284: 1281: 1279: 1276: 1274: 1271: 1269: 1266: 1264: 1261: 1259: 1256: 1254: 1251: 1249: 1246: 1244: 1241: 1239: 1236: 1234: 1231: 1229: 1226: 1224: 1221: 1219: 1216: 1214: 1213:Architectural 1211: 1209: 1206: 1204: 1201: 1199: 1196: 1195: 1193: 1189: 1183: 1180: 1178: 1175: 1173: 1172:Shutter speed 1170: 1168: 1165: 1163: 1160: 1158: 1155: 1153: 1150: 1146: 1143: 1142: 1141: 1138: 1136: 1133: 1131: 1128: 1126: 1125:Metering mode 1123: 1121: 1118: 1116: 1113: 1111: 1108: 1106: 1103: 1101: 1098: 1094: 1091: 1089: 1086: 1085: 1084: 1081: 1079: 1076: 1074: 1071: 1069: 1066: 1064: 1061: 1059: 1056: 1054: 1051: 1049: 1046: 1044: 1041: 1039: 1038:Color balance 1036: 1034: 1031: 1029: 1026: 1024: 1021: 1019: 1016: 1014: 1011: 1009: 1006: 1004: 1003:Angle of view 1001: 999: 996: 995: 993: 989: 983: 980: 976: 973: 972: 971: 968: 966: 963: 961: 958: 956: 953: 951: 950:Manufacturers 948: 944: 941: 939: 936: 934: 931: 929: 926: 924: 921: 919: 916: 914: 911: 910: 909: 906: 902: 899: 897: 894: 892: 889: 887: 884: 882: 879: 877: 874: 872: 869: 867: 864: 862: 859: 858: 857: 854: 852: 849: 845: 842: 840: 837: 835: 832: 830: 827: 825: 822: 820: 817: 816: 815: 812: 810: 807: 803: 800: 798: 795: 794: 793: 790: 786: 783: 781: 778: 776: 773: 771: 768: 766: 763: 761: 758: 756: 753: 751: 748: 746: 743: 741: 738: 736: 733: 731: 728: 726: 723: 722: 721: 718: 717: 715: 711: 707: 700: 695: 693: 688: 686: 681: 680: 677: 671: 667: 666: 661: 658: 657: 653: 651: 648: 647: 643: 638: 637: 632: 629: 625: 622: 618: 616:Lacock, 1977. 615: 611: 608: 604: 601: 597: 594: 591:Buckland, G. 590: 587: 583: 579: 576: 572: 571: 567: 558: 552: 548: 541: 538: 534: 527: 524: 512: 506: 503: 500: 496: 491: 488: 484: 479: 476: 473: 469: 463: 460: 455: 453:1-56098-159-8 449: 444: 443: 434: 432: 430: 426: 422: 417: 414: 406: 405: 397: 394: 382: 376: 373: 366: 362: 359: 357: 356:Daguerreotype 354: 352: 349: 347: 344: 342: 341:Albumen print 339: 338: 334: 332: 330: 326: 322: 318: 314: 310: 305: 301: 295: 293: 289: 284: 277: 266: 262: 261:Thomas Duncan 258: 251: 249: 246: 242: 237: 235: 230: 228: 224: 220: 215: 212: 208: 204: 200: 196: 192: 188: 184: 180: 176: 175:silver iodide 172: 171:silver halide 167: 165: 161: 157: 152: 148: 147:daguerreotype 144: 139: 137: 133: 129: 121: 119: 116: 110: 104: 98: 94: 93:Ancient Greek 90: 85: 83: 79: 78:silver iodide 75: 71: 67: 63: 59: 48: 44: 39: 30: 19: 2264:Polaroid art 2158:K-14 process 2153:Instant film 2148:Gum printing 2103:C-41 process 2088:Photographic 1989:Image sensor 1984:Film scanner 1765: 1638:Sun printing 1571:Print toning 1358:space selfie 1328:Pictorialism 1258:Ethnographic 1238:Conservation 1110:Guide number 1105:Focal length 664: 655: 635: 627: 620: 613: 606: 599: 592: 585: 581: 574: 546: 540: 526: 515:. Retrieved 505: 498: 490: 478: 471: 462: 441: 416: 403: 396: 385:. Retrieved 375: 296: 280: 238: 234:salted paper 233: 231: 216: 210: 168: 163: 159: 151:latent image 142: 140: 132:printing-out 131: 125: 88: 86: 76:coated with 64:is an early 61: 57: 56: 2269:Stereoscopy 2133:E-6 process 2128:Dye coupler 2061:color space 1974:Digiscoping 1967:camera back 1882:Philippines 1811:Visual arts 1801:Glass plate 1786:Heliography 1685:Composition 1660:Ultraviolet 1616:Stereoscopy 1611:Slow motion 1596:Scanography 1511:Kite aerial 1451:Contre-jour 1343:Post-mortem 1333:Pornography 1313:Neues Sehen 1248:Documentary 1182:Zone System 1157:Reciprocity 1083:Film format 1013:Backscatter 991:Terminology 861:beauty dish 760:rangefinder 725:light-field 706:Photography 605:Greene, A. 321:John McCosh 272: 1844 219:translucent 191:gallic acid 187:acetic acid 122:The process 2314:Categories 2259:Lomography 2090:processing 2039:Print film 1955:comparison 1922:Uzbekistan 1872:Luxembourg 1832:Bangladesh 1781:Dufaycolor 1761:Box camera 1718:Simplicity 1675:Zoom burst 1670:Xerography 1665:Vignetting 1655:Time-lapse 1643:Tilt–shift 1536:Mordançage 1526:Luminogram 1491:Holography 1486:High-speed 1466:Fill flash 1446:Burst mode 1424:Techniques 1405:Vernacular 1400:Underwater 1395:Toy camera 1375:Still life 1303:Monochrome 1293:High-speed 1243:Cloudscape 1233:Conceptual 1135:Photograph 1120:Lens flare 1100:Film speed 982:Zone plate 928:wide-angle 913:long-focus 556:0750934883 517:2013-08-18 387:2013-08-18 367:References 252:Popularity 2209:Norwegian 2173:Stop bath 2118:Developer 1746:Ambrotype 1708:Lead room 1631:Slit-scan 1566:Photogram 1561:Panoramic 1471:Fireworks 1456:Cyanotype 1298:Landscape 943:telephoto 891:reflector 886:monolight 881:lens hood 866:cucoloris 802:safelight 713:Equipment 383:. Loc.gov 346:Ambrotype 223:positives 164:talbotype 156:developed 87:The term 62:talbotype 18:Talbotype 2288:Category 1994:CMOS APS 1892:Slovenia 1820:Regional 1766:Calotype 1703:Headroom 1581:Redscale 1496:Infrared 1441:Brenizer 1415:Wildlife 1338:Portrait 1283:Forensic 1273:Fine-art 1208:Aircraft 1198:Abstract 1078:F-number 1058:Exposure 1033:Clipping 1008:Aperture 876:hot shoe 797:enlarger 792:Darkroom 485:, p. 20. 335:See also 283:patented 160:calotype 136:negative 89:calotype 72:, using 58:Calotype 2299:Outline 2235:Related 1927:Vietnam 1912:Ukraine 1847:Denmark 1827:Albania 1806:Tintype 1733:History 1698:Framing 1591:Rollout 1556:Panning 1506:Kirlian 1410:Wedding 1288:Glamour 1268:Fashion 1253:Eclipse 1223:Banquet 1145:Albumen 955:Monopod 933:fisheye 901:softbox 750:pinhole 740:instant 730:digital 361:Tintype 292:England 2297:  2286:  2219:street 2214:Polish 1907:Turkey 1902:Taiwan 1887:Serbia 1877:Norway 1852:Greece 1837:Canada 1431:Afocal 1390:Street 1370:Sports 1353:Selfie 1308:Nature 1263:Erotic 1228:Candid 1203:Aerial 1191:Genres 1093:medium 970:Tripod 938:swivel 851:Filter 829:holder 824:format 720:Camera 553:  450:  2224:women 2182:Lists 2138:Fixer 2021:Pixel 1950:D-SLR 1897:Sudan 1867:Korea 1862:Japan 1857:India 1842:China 1626:Strip 1551:Night 1531:Macro 1436:Bokeh 1380:Stock 1348:Ruins 1088:large 918:prime 896:snoot 856:Flash 834:stock 809:Drone 770:still 755:press 745:phone 735:field 408:(PDF) 317:India 263:, by 203:fixed 181:with 115:typos 109:τύπος 103:kalos 97:καλός 74:paper 45:, by 2247:film 1962:MILC 1461:ETTR 1318:Nude 1278:Fire 1177:Sync 975:head 923:zoom 908:Lens 871:gobo 819:base 814:Film 785:view 551:ISBN 448:ISBN 189:and 1999:CCD 780:toy 775:TLR 765:SLR 162:or 60:or 2316:: 584:, 497:, 470:, 428:^ 331:. 269:c. 267:, 138:. 80:. 51:c. 49:, 698:e 691:t 684:v 559:. 520:. 456:. 390:. 112:( 100:( 31:. 20:)

Index

Talbotype
hot metal typesetting

Nelson's Column
William Henry Fox Talbot
photographic process
William Henry Fox Talbot
paper
silver iodide
Paper texture effects in calotype photography
Ancient Greek
καλός
τύπος
silver chloride
negative
daguerreotype
latent image
developed
silver halide
silver iodide
silver nitrate
potassium iodide
acetic acid
gallic acid
potassium bromide
silver bromide
fixed
sodium thiosulphate
translucent
positives

Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.

↑