Knowledge (XXG)

VHDL

Source 📝

364: 379: 610:(delegated by IEEE to work on the next update of the standard) approved so-called Draft 3.0 of VHDL-2006. While maintaining full compatibility with older versions, this proposed standard provides numerous extensions that make writing and managing VHDL code easier. Key changes include incorporation of child standards (1164, 1076.2, 1076.3) into the main 1076 standard, an extended set of operators, more flexible syntax of 73: 32: 175: 918: 2890:
A large subset of VHDL cannot be translated into hardware. This subset is known as the non-synthesizable or the simulation-only subset of VHDL and can only be used for prototyping, simulation and debugging. For example, the following code will generate a clock with a frequency of 50 MHz. It can,
1257:
of VHDL that defines what language constructs and idioms map into common hardware for many synthesis tools. IEEE 1076.6 defines a subset of the language that is considered the official synthesis subset. It is generally considered a "best practice" to write very idiomatic code for synthesis as results
1180:
design.) While the example above may seem verbose to HDL beginners, many parts are either optional or need to be written only once. Generally simple functions like this are part of a larger behavioral module, instead of having a separate module for something so simple. In addition, use of elements
845:
schematic of the desired circuit. After that, the generated schematic can be verified using simulation software which shows the waveforms of inputs and outputs of the circuit after generating the appropriate testbench. To generate an appropriate testbench for a particular circuit or VHDL code, the
2881:
to add other functions, such as count enables, stopping or rolling over at some count value, generating output signals like terminal count signals, etc. Care must be taken with the ordering and nesting of such controls if used together, in order to produce the desired priorities and minimize the
769:
VHDL is generally used to write text models that describe a logic circuit. Such a model is processed by a synthesis program, only if it is part of the logic design. A simulation program is used to test the logic design using simulation models to represent the logic circuits that interface to the
820:
VHDL has file input and output capabilities, and can be used as a general-purpose language for text processing, but files are more commonly used by a simulation testbench for stimulus or verification data. There are some VHDL compilers which build executable binaries. In this case, it might be
625:
In February 2008, Accellera approved VHDL 4.0, also informally known as VHDL 2008, which addressed more than 90 issues discovered during the trial period for version 3.0 and includes enhanced generic types. In 2008, Accellera released VHDL 4.0 to the IEEE for balloting for inclusion in IEEE
2891:
for example, be used to drive a clock input in a design during simulation. It is, however, a simulation-only construct and cannot be implemented in hardware. In actual hardware, the clock is generated externally; it can be scaled down internally by user logic or dedicated hardware.
881:
A VHDL project is multipurpose. Being created once, a calculation block can be used in many other projects. However, many formational and functional block parameters can be tuned (capacity parameters, memory size, element base, block composition and interconnection structure).
781:. This means that each transaction is added to an event queue for a specific scheduled time. E.g. if a signal assignment should occur after 1 nanosecond, the event is added to the queue for time +1ns. Zero delay is also allowed, but still needs to be scheduled: for these cases 2967:
The simulation-only constructs can be used to build complex waveforms in very short time. Such waveform can be used, for example, as test vectors for a complex design or as a prototype of some synthesizer logic that will be implemented in the future.
1236:
In the examples that follow, you will see that VHDL code can be written in a very compact form. However, more experienced designers usually avoid these compact forms and use a more verbose coding style for the sake of readability and maintainability.
865:
The key advantage of VHDL, when used for systems design, is that it allows the behavior of the required system to be described (modeled) and verified (simulated) before synthesis tools translate the design into real hardware (gates and wires).
705:
IEEE 1076-2008 (previously referred to as 1076-200x). Major revision released on 2009-01-26. Among other changes, this standard incorporates a basic subset of PSL, allows for generics on packages and subprograms and introduces the use of
829:
It is relatively easy for an inexperienced developer to produce code that simulates successfully but that cannot be synthesized into a real device, or is too large to be practical. One particular pitfall is the accidental production of
1245:
VHDL is frequently used for two different goals: simulation of electronic designs and synthesis of such designs. Synthesis is a process where a VHDL is compiled and mapped into an implementation technology such as an FPGA or an ASIC.
467:
Due to the Department of Defense requiring as much of the syntax as possible to be based on Ada, in order to avoid re-inventing concepts that had already been thoroughly tested in the development of Ada, VHDL borrows heavily from the
785:
is used, which represent an infinitely small time step. The simulation alters between two modes: statement execution, where triggered statements are evaluated, and event processing, where events in the queue are processed.
583:
In addition to IEEE standard 1164, several child standards were introduced to extend functionality of the language. IEEE standard 1076.2 added better handling of real and complex data types. IEEE standard 1076.3 introduced
877:
in which every statement is considered for execution simultaneously, unlike procedural computing languages such as BASIC, C, and assembly code, where a sequence of statements is run sequentially one instruction at a time.
825:
to verify the functionality of the design using files on the host computer to define stimuli, to interact with the user, and to compare results with those expected. However, most designers leave this job to the simulator.
2478:
The following example is an up-counter with asynchronous reset, parallel load and configurable width. It demonstrates the use of the 'unsigned' type, type conversions between 'unsigned' and 'std_logic_vector' and VHDL
452:
that supplier companies were including in equipment. The standard MIL-STD-454N in Requirement 64 in section 4.5.1 "ASIC documentation in VHDL" explicitly requires documentation of "Microelectronic Devices" in VHDL.
702:
IEEE 1076c-2007. Introduced VHPI, the VHDL procedural interface, which provides software with the means to access the VHDL model. The VHDL language required minor modifications to accommodate the VHPI.
4840: 1938:
Another common way to write edge-triggered behavior in VHDL is with the 'event' signal attribute. A single apostrophe has to be written between the signal name and the name of the attribute.
809:. In order to directly represent operations which are common in hardware, there are many features of VHDL which are not found in Ada, such as an extended set of Boolean operators including 657:
as computer-system design experts. The language has undergone numerous revisions and has a variety of sub-standards associated with it that augment or extend it in important ways.
413: 841:
One can design hardware in a VHDL IDE (for FPGA implementation such as Xilinx ISE, Altera Quartus, Synopsys Synplify or Mentor Graphics HDL Designer) to produce the
6498: 6493: 1835:
samples an incoming signal at the rising (or falling) edge of a clock. This example has an asynchronous, active-high reset, and samples at the rising clock edge.
622:). These changes should improve quality of synthesizable VHDL code, make testbenches more flexible, and allow wider use of VHDL for system-level descriptions. 1270:, or 'MUX' as it is usually called, is a simple construct very common in hardware design. The example below demonstrates a simple two to one MUX, with inputs 5922: 5047: 4261: 4006:
IEC 61691-1-1 First edition 2004-10; IEEE 1076 — IEC/IEEE Behavioural Languages – Part 1-1: VHDL Language Reference Manual (Adoption of IEEE Std 1076-2002)
5815: 554:-typed signals allow multiple driving for modeling bus structures, whereby the connected resolution function handles conflicting assignments adequately. 409: 393: 1233:), instead of simple bits (0,1) offers a very powerful simulation and debugging tool to the designer which currently does not exist in any other HDL. 196: 183: 6488: 4580: 2483:. The generics are very close to arguments or templates in other traditional programming languages like C++. The example is in VHDL 2008 language. 6473: 5945: 680:). Significant improvements resulting from several years of feedback. Probably the most widely used version with the greatest vendor tool support. 449: 1738:
is basically one bit of memory which is updated when an enable signal is raised. Again, there are many other ways this can be expressed in VHDL.
963:
which contains the actual implementation. In addition, most designs import library modules. Some designs also contain multiple architectures and
4224: 885:
A VHDL project is portable. Being created for one element base, a computing device project can be ported on another element base, for example
4085: 4053: 3882: 3791: 1253:
are not synthesizable despite being valid for simulation. While different synthesis tools have different capabilities, there exists a common
849:
A final point is that when a VHDL model is translated into the "gates and wires" that are mapped onto a programmable logic device such as a
6123: 6093: 3722: 928: 5851: 5841: 303: 4690: 857:, then it is the actual hardware being configured, rather than the VHDL code being "executed" as if on some form of a processor chip. 5967: 5116: 4635: 4531: 4419: 4412: 4390: 4021: 3989: 3957: 3925: 3850: 677: 221: 156: 59: 4448: 4122: 5915: 6108: 4815: 4257: 619: 429: 4488: 5097: 1249:
Not all constructs in VHDL are suitable for synthesis. For example, most constructs that explicitly deal with timing such as
94: 6158: 6034: 5955: 5072: 3728: 642: 397: 353: 846:
inputs have to be defined correctly. For example, for clock input, a loop process or an iterative statement is required.
456:
The idea of being able to simulate the ASICs from the information in this documentation was so obviously attractive that
4573: 4351: 6153: 5908: 5481: 5042: 4745: 4660: 4640: 272: 4199: 576:
Minor changes in the standard (2000 and 2002) added the idea of protected types (similar to the concept of class in
5884: 4613: 4589: 4250: 469: 445: 349: 137: 618:
statements, incorporation of VHPI (VHDL Procedural Interface) (interface to C/C++ languages) and a subset of PSL (
188: 83: 5972: 5931: 5451: 4650: 4421:. The no-frills guide to writing powerful VHDL code for your digital implementations. Archived from the original 901: 524: 109: 4375:
1076/INT-1991 – IEEE Standards Interpretations: IEEE Std 1076-1987, IEEE Standard VHDL Language Reference Manual
4038:
1076c-2007 – IEEE Standard VHDL Language Reference Manual Amendment 1: Procedural Language Application Interface
6395: 6128: 6014: 5997: 5982: 5977: 4885: 367: 408:, for design entry, documentation, and verification purposes. The language was developed for the US military 6478: 6372: 5889: 4750: 4740: 4720: 4566: 4169: 1832: 1176: 842: 835: 778: 646: 116: 6483: 6313: 6199: 5062: 4623: 3759: 3620: 3605: 6323: 6214: 6204: 6024: 5856: 4979: 4715: 4506: 4466: 500: 90: 45: 669:
IEEE 1076-1987 First standardized revision of ver 7.2 of the language from the United States Air Force.
123: 6387: 6334: 6219: 6113: 6019: 5122: 5052: 4870: 4800: 3674: 874: 425: 330: 253: 249: 245: 240: 5765: 5760: 5755: 5750: 5745: 5740: 5735: 3808: 531: 6432: 6288: 6009: 5987: 401: 383: 6308: 6224: 5004: 4880: 790: 310: 105: 900:. Designers can use the type system to write much more structured code (especially by declaring 464:
tools that read the VHDL and output a definition of the physical implementation of the circuit.
3635: 6239: 6081: 5553: 5548: 5528: 5512: 5506: 5501: 5496: 5491: 5486: 5476: 5471: 5461: 5456: 5092: 4922: 4527: 4408: 4386: 4081: 4049: 4017: 3985: 3953: 3921: 3878: 3846: 3787: 1735: 1190: 870: 831: 673: 650: 496: 5681: 5422: 5417: 5407: 5402: 5397: 5392: 5387: 5382: 5372: 5367: 5362: 5357: 5347: 5342: 5337: 5332: 5317: 5312: 5307: 5302: 5297: 4407:
Peter J. Ashenden, "The Designer's Guide to VHDL, Third Edition (Systems on Silicon)", 2008,
4315: 561:, in 1993, made the syntax more consistent, allowed more flexibility in naming, extended the 6103: 5950: 5446: 5112: 4378: 4278: 4073: 4041: 4009: 3977: 3945: 3913: 3870: 3838: 806: 457: 363: 3781: 2470:
Which can be useful if not all signals (registers) driven by this process should be reset.
6342: 4875: 4553: 4519: 4479: 649:
awarded in 1983 to a team of Intermetrics, Inc. as language experts and prime contractor,
530:
A problem not solved by this edition, however, was "multi-valued logic", where a signal's
508: 461: 378: 4422: 6444: 5730: 4860: 4855: 4775: 4725: 4495: 4455: 4115: 802: 588:
types to facilitate arithmetical operations on vectors. IEEE standard 1076.1 (known as
488: 4296: 6467: 6415: 6400: 6272: 6194: 6076: 5836: 5780: 5775: 5770: 5720: 5715: 5710: 5700: 5676: 5652: 5640: 5629: 5618: 5606: 5601: 5596: 5591: 5578: 5567: 5057: 5037: 4890: 4865: 4795: 4685: 4630: 4526:
Janick Bergeron, "Writing Testbenches: Functional Verification of HDL Models", 2000,
3749: 3649: 460:
were developed that could read the VHDL files. The next step was the development of
6357: 6347: 5992: 5820: 5810: 5558: 5543: 5538: 5533: 5523: 5466: 5107: 5102: 5087: 5082: 5077: 5027: 4102:"IEEE 1076.6-2004 - IEEE Standard for VHDL Register Transfer Level (RTL) Synthesis" 483:
standard IEEE 1076-1987, included a wide range of data types, including numerical (
130: 4415:. (The VHDL reference book written by one of the lead developers of the language) 4077: 4070:
61691-1-1-2011 — Behavioural languages – Part 1-1: VHDL Language Reference Manual
4045: 3874: 404:
at multiple levels of abstraction, ranging from the system level down to that of
6143: 6052: 5960: 5692: 5432: 5186: 5067: 5032: 5022: 4999: 4994: 4989: 4984: 4967: 4962: 4952: 4382: 3917: 3842: 3738: 3571: 1267: 897: 782: 742: 645:, or VHDL. It was originally developed under contract F33615-83-C-1003 from the 405: 298: 72: 4101: 4013: 3981: 3949: 6362: 6293: 6262: 6069: 5805: 5800: 5132: 5014: 4947: 4942: 4937: 4932: 4927: 4917: 4147: 3663:- Free web browser-based VHDL IDE (uses Synopsys VCS, Cadence Incisive, Aldec 1185:
type might at first seem to be an overkill. One could easily use the built-in
971: 660:
1076 was and continues to be a milestone in the design of electronic systems.
596: 585: 566: 1189:
type and avoid the library import in the beginning. However, using a form of
534:(none, weak or strong) and unknown values are also considered. This required 6427: 6422: 6405: 6367: 6174: 6047: 5866: 5846: 5704: 4957: 4850: 4845: 4830: 4820: 4810: 4790: 4785: 4770: 4760: 4755: 4735: 4730: 4710: 4705: 4700: 4695: 4680: 4645: 4355: 3687: 3577: 1194: 757: 607: 600: 558: 535: 51: 3690:
by freerangefactory.org is a VHDL compiler and simulator based on GHDL and
626:
1076-2008. The VHDL standard IEEE 1076-2008 was published in January 2009.
174: 4192: 6410: 6303: 6234: 6179: 6138: 6133: 6098: 6064: 5137: 4909: 4900: 4618: 4608: 4603: 3810:
Military Standard, Standard general requirements for electronic equipment
3711:
by Edwin Naroska was an open source VHDL simulator, abandoned since 2001.
3640: 3629: 729: 589: 433: 337: 4430: 6377: 6267: 6229: 6088: 6042: 5861: 5657: 5623: 5572: 5517: 5412: 5377: 5352: 5327: 5322: 5292: 5287: 5282: 5276: 5270: 5265: 5260: 5255: 5249: 5243: 5238: 5233: 5228: 5222: 5216: 5211: 5206: 5201: 5146: 4780: 4765: 4440: 4251:"VHDL Data types and Operators available in the IEEE Standard Packages" 4225:"VHDL Logical Operators and Signal Assignments for Combinatorial Logic" 3898:
IEEE P1076 Working Group VHDL Analysis and Standardization Group (VASG)
3754: 3744: 1286:. Note that there are many other ways to express the same MUX in VHDL. 893: 484: 20: 1323:
A more complex example of a MUX with 4x3 inputs and a 2-bit selector:
6439: 6298: 6118: 6002: 5900: 5724: 5196: 5191: 5181: 5176: 5171: 5166: 5161: 5156: 5151: 4825: 4655: 3682: 3646: 473: 3741:- a standard package which provides arithmetic functions for vectors 577: 323: 6209: 6189: 6148: 5582: 5437: 4972: 4835: 4675: 3733: 3691: 3664: 3623: 3615: 770:
design. This collection of simulation models is commonly called a
751:
IEEE 1076.4 Timing (VHDL Initiative Towards ASIC Libraries: vital)
690:
IEEE 1076-2002. Minor revision of 1076-2000. Rules with regard to
639: 377: 4558: 2877:
More complex counters may add if/then/else statements within the
6352: 4805: 4670: 3897: 886: 854: 850: 635: 504: 480: 5904: 4562: 4439:— Sandstrom presents a table relating VHDL constructs to 4330: 3702: 3678: 3660: 754:
IEEE 1076.6 VHDL Synthesis Interoperability (withdrawn in 2010)
6184: 3696: 911: 654: 592:) provided analog and mixed-signal circuit design extensions. 492: 168: 66: 25: 3705:
by Nick Gasson is an open source VHDL compiler and simulator
1258:
can be incorrect or suboptimal for non-standard constructs.
444:
In 1983, VHDL was originally developed at the behest of the
3708: 748:
IEEE 1076.3 VHDL Synthesis Package – Floating Point (fphdl)
4431:"Comparing Verilog to VHDL Syntactically and Semantically" 4279:"VCS: Industry's Highest Performance Simulation Solution" 869:
Another benefit is that VHDL allows the description of a
580:) and removed some restrictions from port mapping rules. 3974:
1076-2002 – IEEE Standard VHDL Language Reference Manual
3942:
1076-2000 – IEEE Standard VHDL Language Reference Manual
3910:
1076-1993 – IEEE Standard VHDL Language Reference Manual
3867:
1076-2008 – IEEE Standard VHDL Language Reference Manual
3835:
1076-1987 – IEEE Standard VHDL Language Reference Manual
797:) differ in syntax from the parallel constructs in Ada ( 595:
Some other standards support wider use of VHDL, notably
4546: 3670: 935: 432:
systems, an IEEE-standardized HDL based on VHDL called
412:
program in the 1980s, and has been standardized by the
683:
IEEE 1076-2000. Minor revision. Introduces the use of
3699:
by Symphony EDA is a free commercial VHDL simulator.
793:
inherent in hardware designs, but these constructs (
6386: 6333: 6322: 6281: 6255: 6248: 6167: 6033: 5938: 5829: 5793: 5691: 5431: 5131: 5013: 4908: 4899: 4596: 713:
IEC 61691-1-1:2011. IEC adoption of IEEE 1076-2008.
697:
IEC 61691-1-1:2004. IEC adoption of IEEE 1076-2002.
343: 329: 319: 309: 297: 271: 259: 239: 97:. Unsourced material may be challenged and removed. 3566:The IEEE Standard Package includes the following: 735:IEEE 1076.1.1 VHDL-AMS Standard Packages (stdpkgs) 436:(officially IEEE 1076.1) has been developed. 4301:GHDL Documentation -- GHDL 0.36-dev documentation 3829: 3827: 414:Institute of Electrical and Electronics Engineers 4494:. 1.0. Qualis Design Corporation. Archived from 4454:. 1.1. Qualis Design Corporation. Archived from 741:IEEE 1076.3 VHDL Synthesis Package (vhdlsynth) ( 538:, which defined the 9-value logic types: scalar 4418:Bryan Mealy, Fabrizio Tappero (February 2012). 3072:-- write numbers 1 to 10 to DATA, 1 every cycle 2053:VHDL also lends itself to "one-liners" such as 760:VHDL Multivalue Logic (std_logic_1164) Packages 4554:VHDL Analysis and Standardization Group (VASG) 4148:"Why should I care about Transparent Latches?" 3677:VHDL compiler that can execute VHDL programs. 955:In VHDL, a design consists at a minimum of an 606:In June 2006, the VHDL Technical Committee of 5916: 4574: 892:A big advantage of VHDL compared to original 599:(VHDL Initiative Towards ASIC Libraries) and 400:that can model the behavior and structure of 8: 278:IEEE 1076-2019 / 23 December 2019 234: 1241:Synthesizable constructs and VHDL templates 60:Learn how and when to remove these messages 6330: 6252: 5923: 5909: 5901: 4905: 4581: 4567: 4559: 3299:------------------------------------------ 728:IEEE 1076.1 VHDL Analog and Mixed-Signal ( 233: 3786:. Springer Science & Business Media. 1018:-- import std_logic from the IEEE library 479:The initial version of VHDL, designed to 448:in order to document the behavior of the 222:Learn how and when to remove this message 157:Learn how and when to remove this message 4487:Qualis Design Corporation (2000-07-20). 4447:Qualis Design Corporation (2000-07-20). 199:of all important aspects of the article. 3772: 3021:-- then wait for a few clock periods... 4515: 4504: 4475: 4464: 195:Please consider expanding the lead to 6499:Programming languages created in 1983 6494:Domain-specific programming languages 959:which describes the interface and an 7: 4489:"1164 packages quick reference card" 3723:Altera Hardware Description Language 3558:Also referred as standard packages. 672:IEEE 1076-1993 (also published with 396:Hardware Description Language) is a 95:adding citations to reliable sources 4331:"NVC - VHDL Compiler and Simulator" 4249:Chiusano, Silvia (April 5, 2011). 974:in VHDL would look something like 789:VHDL has constructs to handle the 546:. Being a resolved subtype of its 14: 4314:Gasson, Nick (November 5, 2011). 4193:"A structured VHDL Design Method" 3141:-- now raise ACK for clock period 938:and remove advice or instruction. 777:A VHDL simulator is typically an 420:; the latest version of which is 41:This article has multiple issues. 4429:Johan Sandstrom (October 1995). 4267:from the original on 2022-10-10. 3780:David R. Coelho (30 June 1989). 3126:-- wait until the output changes 916: 821:possible to use VHDL to write a 569:printable characters, added the 362: 173: 71: 30: 6489:Ada programming language family 4258:Polytechnic University of Turin 4205:from the original on 2022-10-10 4128:from the original on 2017-02-23 3652:(features the Vivado Simulator) 2882:number of logic levels needed. 718:IEEE 1076-2019. Major revision. 620:Property Specification Language 187:may be too short to adequately 82:needs additional citations for 49:or discuss these issues on the 6474:Hardware description languages 4329:Gasson, Nick (July 22, 2023). 3807:Department of Defense (1992). 2339:RisingEdge_DFlipFlop_SyncReset 2324:RisingEdge_DFlipFlop_SyncReset 2249:RisingEdge_DFlipFlop_SyncReset 197:provide an accessible overview 1: 4121:. University of Southampton. 3667:and GHDL for VHDL simulation) 3284:-- insert implementation here 738:IEEE 1076.2 VHDL Math Package 643:Hardware Description Language 398:hardware description language 16:Hardware description language 4078:10.1109/IEEESTD.2011.5967868 4046:10.1109/IEEESTD.2007.4299594 3875:10.1109/IEEESTD.2009.4772740 422:IEEE Std 1076-2019 4534:. (The HDL Testbench Bible) 4449:"VHDL quick reference card" 4383:10.1109/IEEESTD.1992.101084 3918:10.1109/IEEESTD.1994.121433 3843:10.1109/IEEESTD.1988.122645 2997:-- wait until START is high 1117:-- this is the architecture 979:-- (this is a VHDL comment) 889:with various technologies. 653:as chip design experts and 603:circuit design extensions. 6515: 5885:IEEE Standards Association 4014:10.1109/IEEESTD.2004.95752 3982:10.1109/IEEESTD.2002.93614 3950:10.1109/IEEESTD.2000.92297 3603: 2886:Simulation-only constructs 1553:-- declarative part: empty 638:Standard 1076 defines the 446:U.S. Department of Defense 18: 5875: 4316:"Writing a VHDL compiler" 3636:Questa Advanced Simulator 382:VHDL source for a signed 359: 348: 336: 293: 267: 6015:Circuit underutilization 5998:Reconfigurable computing 4435:Integrated System Design 3380:-- The testbench process 3209: 2970: 2893: 2521:-- for the unsigned type 2485: 2222: 2110: 2055: 1940: 1837: 1740: 1325: 1288: 976: 896:is that VHDL has a full 470:Ada programming language 5890:Category:IEEE standards 4297:"Copyrights | Licenses" 4116:"ELEC3017 - Simulation" 1177:Register transfer level 647:United States Air Force 542:and its vector version 418:IEEE Std 1076 368:Programmable Logic/VHDL 4514:Cite journal requires 4474:Cite journal requires 3760:List of HDL simulators 3606:List of HDL simulators 2879:rising_edge(CLK) elsif 779:event-driven simulator 386: 6025:Hardware acceleration 4358:on February 10, 2002. 3562:IEEE Standard Package 1042:-- this is the entity 838:as storage elements. 801:). Like Ada, VHDL is 381: 280:; 4 years ago 19:For Verilog HDL, see 6220:Microchip Technology 6020:High-level synthesis 4352:"freehdl: By Thread" 3314:ieee.std_logic_1164. 3224:ieee.std_logic_1164. 3206:Hierarchical Aliases 2500:IEEE.std_logic_1164. 2237:IEEE.Std_logic_1164. 1776:-- latch template 2: 1743:-- latch template 1: 1340:IEEE.std_logic_1164. 1255:synthesizable subset 1033:IEEE.std_logic_1164. 936:rewrite this section 472:in both concept and 91:improve this article 6289:Intel Quartus Prime 6010:Soft microprocessor 3650:Vivado Design Suite 832:transparent latches 586:signed and unsigned 311:Filename extensions 260:First appeared 236: 5932:Programmable logic 4170:"Clock Generation" 3587:std_logic_unsigned 3554:Standard libraries 3201:VHDL-2008 Features 2474:Example: a counter 807:not case sensitive 536:IEEE standard 1164 387: 6461: 6460: 6457: 6456: 6453: 6452: 6240:Texas Instruments 5898: 5897: 5789: 5788: 4303:. readthedocs.io. 4087:978-0-7381-6605-6 4055:978-0-7381-5523-4 3884:978-0-7381-6854-8 3793:978-0-7923-9031-2 3783:The VHDL Handbook 2512:IEEE.numeric_std. 1827:D-type flip-flops 1736:transparent latch 1191:many-valued logic 953: 952: 929:a manual or guide 875:dataflow language 871:concurrent system 836:D-type flip-flops 723:Related standards 651:Texas Instruments 376: 375: 299:Typing discipline 232: 231: 224: 214: 213: 167: 166: 159: 141: 64: 6506: 6331: 6253: 5925: 5918: 5911: 5902: 4906: 4583: 4576: 4569: 4560: 4550: 4549: 4547:Official website 4523: 4517: 4512: 4510: 4502: 4500: 4493: 4483: 4477: 4472: 4470: 4462: 4460: 4453: 4438: 4396: 4360: 4359: 4354:. Archived from 4348: 4342: 4341: 4339: 4337: 4326: 4320: 4319: 4311: 4305: 4304: 4293: 4287: 4286: 4275: 4269: 4268: 4266: 4255: 4246: 4240: 4239: 4237: 4236: 4221: 4215: 4214: 4212: 4210: 4204: 4197: 4188: 4182: 4181: 4179: 4177: 4166: 4160: 4159: 4157: 4155: 4144: 4138: 4137: 4135: 4133: 4127: 4120: 4112: 4106: 4105: 4098: 4092: 4091: 4066: 4060: 4059: 4034: 4028: 4027: 4002: 3996: 3995: 3970: 3964: 3963: 3938: 3932: 3931: 3906: 3900: 3895: 3889: 3888: 3863: 3857: 3856: 3831: 3822: 3821: 3819: 3817: 3804: 3798: 3797: 3777: 3634:Mentor Graphics 3628:Mentor Graphics 3590:std_logic_signed 3549: 3546: 3543: 3540: 3537: 3534: 3531: 3528: 3525: 3522: 3519: 3516: 3513: 3510: 3507: 3504: 3501: 3498: 3495: 3492: 3489: 3486: 3483: 3480: 3477: 3474: 3471: 3468: 3465: 3462: 3459: 3456: 3453: 3450: 3447: 3444: 3441: 3438: 3435: 3432: 3429: 3426: 3423: 3420: 3417: 3414: 3411: 3408: 3405: 3402: 3399: 3396: 3393: 3390: 3387: 3384: 3381: 3378: 3375: 3372: 3369: 3366: 3363: 3360: 3357: 3354: 3351: 3348: 3345: 3342: 3339: 3336: 3333: 3330: 3327: 3324: 3321: 3318: 3315: 3312: 3309: 3306: 3303: 3300: 3297: 3294: 3291: 3288: 3285: 3282: 3279: 3276: 3273: 3270: 3267: 3264: 3261: 3258: 3255: 3252: 3249: 3246: 3243: 3240: 3237: 3234: 3231: 3228: 3225: 3222: 3219: 3216: 3213: 3196: 3193: 3190: 3187: 3184: 3181: 3178: 3175: 3172: 3169: 3166: 3163: 3160: 3157: 3154: 3151: 3148: 3145: 3142: 3139: 3136: 3133: 3130: 3127: 3124: 3121: 3118: 3115: 3112: 3109: 3106: 3103: 3100: 3097: 3094: 3091: 3088: 3085: 3082: 3079: 3076: 3073: 3070: 3067: 3064: 3061: 3058: 3055: 3052: 3049: 3046: 3043: 3040: 3037: 3034: 3031: 3028: 3025: 3022: 3019: 3016: 3013: 3010: 3007: 3004: 3001: 2998: 2995: 2992: 2989: 2986: 2983: 2980: 2977: 2974: 2963: 2960: 2957: 2954: 2951: 2948: 2945: 2942: 2939: 2936: 2933: 2930: 2927: 2924: 2921: 2918: 2915: 2912: 2909: 2906: 2903: 2900: 2897: 2880: 2873: 2870: 2867: 2864: 2861: 2858: 2855: 2852: 2849: 2846: 2843: 2840: 2837: 2834: 2831: 2828: 2825: 2822: 2819: 2816: 2813: 2810: 2809:std_logic_vector 2807: 2804: 2801: 2798: 2795: 2792: 2789: 2786: 2783: 2780: 2777: 2774: 2771: 2768: 2765: 2762: 2759: 2756: 2753: 2750: 2747: 2744: 2741: 2738: 2735: 2732: 2729: 2726: 2723: 2720: 2717: 2714: 2711: 2708: 2705: 2702: 2699: 2696: 2693: 2690: 2687: 2684: 2681: 2678: 2675: 2672: 2669: 2666: 2663: 2660: 2657: 2654: 2653:std_logic_vector 2651: 2648: 2645: 2642: 2639: 2636: 2633: 2630: 2627: 2624: 2621: 2620:std_logic_vector 2618: 2615: 2612: 2609: 2606: 2603: 2600: 2597: 2594: 2591: 2588: 2585: 2582: 2579: 2576: 2573: 2570: 2567: 2564: 2561: 2558: 2555: 2552: 2549: 2546: 2543: 2540: 2537: 2534: 2531: 2528: 2525: 2522: 2519: 2516: 2513: 2510: 2507: 2504: 2501: 2498: 2495: 2492: 2489: 2466: 2463: 2460: 2457: 2454: 2451: 2448: 2445: 2442: 2439: 2436: 2433: 2430: 2427: 2424: 2421: 2418: 2415: 2412: 2409: 2406: 2403: 2400: 2397: 2394: 2391: 2388: 2385: 2382: 2379: 2376: 2373: 2370: 2367: 2364: 2361: 2358: 2355: 2352: 2349: 2346: 2343: 2340: 2337: 2334: 2331: 2328: 2325: 2322: 2319: 2316: 2313: 2310: 2307: 2304: 2301: 2298: 2295: 2292: 2289: 2286: 2283: 2280: 2277: 2274: 2271: 2268: 2265: 2262: 2259: 2256: 2253: 2250: 2247: 2244: 2241: 2238: 2235: 2232: 2229: 2226: 2216: 2213: 2210: 2207: 2204: 2201: 2198: 2195: 2192: 2189: 2186: 2183: 2180: 2177: 2174: 2171: 2168: 2165: 2162: 2159: 2156: 2153: 2150: 2147: 2144: 2141: 2138: 2135: 2132: 2129: 2126: 2123: 2120: 2117: 2114: 2104: 2101: 2098: 2095: 2092: 2089: 2086: 2083: 2080: 2077: 2074: 2071: 2068: 2065: 2062: 2059: 2049: 2046: 2043: 2040: 2037: 2034: 2031: 2028: 2025: 2022: 2019: 2016: 2013: 2010: 2007: 2004: 2001: 1998: 1995: 1992: 1989: 1986: 1983: 1980: 1977: 1974: 1971: 1968: 1965: 1962: 1959: 1956: 1953: 1950: 1947: 1944: 1934: 1931: 1928: 1925: 1922: 1919: 1916: 1913: 1910: 1907: 1904: 1901: 1898: 1895: 1892: 1889: 1886: 1883: 1880: 1877: 1874: 1871: 1868: 1865: 1862: 1859: 1856: 1853: 1850: 1847: 1844: 1841: 1822: 1819: 1816: 1813: 1810: 1807: 1804: 1801: 1798: 1795: 1792: 1789: 1786: 1783: 1780: 1777: 1774: 1771: 1768: 1765: 1762: 1759: 1756: 1753: 1750: 1747: 1744: 1725: 1722: 1719: 1716: 1713: 1710: 1707: 1704: 1701: 1698: 1695: 1692: 1689: 1686: 1683: 1680: 1677: 1674: 1671: 1668: 1665: 1662: 1659: 1656: 1653: 1650: 1647: 1644: 1641: 1638: 1635: 1632: 1629: 1626: 1623: 1620: 1617: 1614: 1611: 1608: 1605: 1602: 1599: 1596: 1593: 1590: 1587: 1584: 1581: 1578: 1575: 1572: 1569: 1566: 1563: 1560: 1557: 1554: 1551: 1548: 1545: 1542: 1539: 1536: 1533: 1530: 1527: 1524: 1521: 1518: 1515: 1512: 1509: 1508:std_logic_vector 1506: 1503: 1500: 1497: 1494: 1491: 1488: 1485: 1482: 1481:std_logic_vector 1479: 1476: 1473: 1470: 1467: 1464: 1461: 1458: 1455: 1454:std_logic_vector 1452: 1449: 1446: 1443: 1440: 1437: 1434: 1431: 1428: 1427:std_logic_vector 1425: 1422: 1419: 1416: 1413: 1410: 1407: 1404: 1401: 1400:std_logic_vector 1398: 1395: 1392: 1389: 1386: 1383: 1380: 1377: 1374: 1373:std_logic_vector 1371: 1368: 1365: 1362: 1359: 1356: 1353: 1350: 1347: 1344: 1341: 1338: 1335: 1332: 1329: 1319: 1316: 1313: 1310: 1307: 1304: 1301: 1298: 1295: 1292: 1285: 1281: 1277: 1273: 1252: 1232: 1228: 1224: 1220: 1216: 1212: 1208: 1204: 1200: 1188: 1184: 1173: 1166: 1163: 1160: 1157: 1154: 1151: 1148: 1145: 1142: 1139: 1136: 1133: 1130: 1127: 1124: 1121: 1118: 1115: 1112: 1109: 1106: 1103: 1100: 1097: 1094: 1091: 1088: 1085: 1082: 1079: 1076: 1073: 1070: 1067: 1064: 1061: 1058: 1055: 1052: 1049: 1046: 1043: 1040: 1037: 1034: 1031: 1028: 1025: 1022: 1019: 1016: 1013: 1010: 1007: 1004: 1001: 998: 995: 992: 989: 986: 983: 980: 948: 945: 939: 927:is written like 920: 919: 912: 572: 564: 553: 549: 545: 544:std_logic_vector 541: 522: 518: 514: 458:logic simulators 366: 288: 286: 281: 237: 227: 220: 209: 206: 200: 177: 169: 162: 155: 151: 148: 142: 140: 99: 75: 67: 56: 34: 33: 26: 6514: 6513: 6509: 6508: 6507: 6505: 6504: 6503: 6464: 6463: 6462: 6449: 6382: 6325: 6318: 6277: 6244: 6163: 6029: 5934: 5929: 5899: 5894: 5871: 5825: 5785: 5687: 5435: 5427: 5135: 5127: 5009: 4895: 4592: 4587: 4545: 4544: 4541: 4513: 4503: 4498: 4491: 4486: 4473: 4463: 4458: 4451: 4446: 4428: 4423:Free Range VHDL 4404: 4402:Further reading 4399: 4393: 4373: 4364: 4363: 4350: 4349: 4345: 4335: 4333: 4328: 4327: 4323: 4313: 4312: 4308: 4295: 4294: 4290: 4277: 4276: 4272: 4264: 4253: 4248: 4247: 4243: 4234: 4232: 4223: 4222: 4218: 4208: 4206: 4202: 4195: 4190: 4189: 4185: 4175: 4173: 4168: 4167: 4163: 4153: 4151: 4146: 4145: 4141: 4131: 4129: 4125: 4118: 4114: 4113: 4109: 4100: 4099: 4095: 4088: 4068: 4067: 4063: 4056: 4036: 4035: 4031: 4024: 4004: 4003: 3999: 3992: 3972: 3971: 3967: 3960: 3940: 3939: 3935: 3928: 3908: 3907: 3903: 3896: 3892: 3885: 3865: 3864: 3860: 3853: 3833: 3832: 3825: 3815: 3813: 3806: 3805: 3801: 3794: 3779: 3778: 3774: 3769: 3764: 3718: 3608: 3602: 3600:VHDL simulators 3584:std_logic_arith 3564: 3556: 3551: 3550: 3547: 3544: 3541: 3538: 3535: 3532: 3529: 3526: 3523: 3520: 3517: 3514: 3511: 3508: 3505: 3502: 3499: 3496: 3493: 3490: 3487: 3484: 3481: 3478: 3475: 3472: 3469: 3466: 3463: 3460: 3457: 3454: 3451: 3448: 3445: 3442: 3439: 3436: 3433: 3430: 3427: 3424: 3421: 3418: 3415: 3412: 3409: 3406: 3403: 3400: 3397: 3394: 3391: 3388: 3385: 3382: 3379: 3376: 3373: 3370: 3367: 3364: 3361: 3358: 3355: 3352: 3349: 3346: 3343: 3340: 3337: 3334: 3331: 3328: 3325: 3322: 3319: 3316: 3313: 3310: 3307: 3304: 3301: 3298: 3295: 3292: 3289: 3286: 3283: 3280: 3277: 3274: 3271: 3268: 3265: 3262: 3259: 3256: 3253: 3250: 3247: 3244: 3241: 3238: 3235: 3232: 3229: 3226: 3223: 3220: 3217: 3214: 3211: 3208: 3203: 3198: 3197: 3194: 3191: 3188: 3186:-- and so on... 3185: 3182: 3179: 3176: 3173: 3170: 3167: 3164: 3161: 3158: 3155: 3152: 3149: 3146: 3143: 3140: 3137: 3134: 3131: 3128: 3125: 3122: 3119: 3116: 3113: 3110: 3107: 3104: 3101: 3098: 3095: 3092: 3089: 3086: 3083: 3080: 3077: 3074: 3071: 3068: 3065: 3062: 3059: 3056: 3053: 3050: 3047: 3044: 3041: 3038: 3035: 3032: 3029: 3026: 3023: 3020: 3017: 3014: 3011: 3008: 3005: 3002: 2999: 2996: 2993: 2990: 2987: 2984: 2981: 2978: 2975: 2972: 2965: 2964: 2961: 2958: 2955: 2952: 2949: 2946: 2943: 2940: 2937: 2934: 2931: 2928: 2925: 2922: 2919: 2916: 2913: 2910: 2907: 2904: 2901: 2898: 2895: 2888: 2878: 2875: 2874: 2871: 2868: 2865: 2862: 2859: 2856: 2853: 2850: 2847: 2844: 2841: 2838: 2835: 2832: 2829: 2826: 2823: 2820: 2817: 2814: 2811: 2808: 2805: 2802: 2799: 2796: 2793: 2790: 2787: 2784: 2781: 2778: 2775: 2772: 2769: 2766: 2763: 2760: 2757: 2754: 2751: 2748: 2745: 2742: 2739: 2736: 2733: 2730: 2727: 2724: 2721: 2718: 2715: 2712: 2709: 2706: 2703: 2700: 2697: 2694: 2691: 2688: 2685: 2682: 2679: 2676: 2673: 2670: 2667: 2664: 2661: 2658: 2655: 2652: 2649: 2646: 2643: 2640: 2637: 2634: 2631: 2628: 2625: 2622: 2619: 2616: 2613: 2610: 2607: 2604: 2601: 2598: 2595: 2592: 2589: 2586: 2583: 2580: 2577: 2574: 2571: 2568: 2565: 2562: 2559: 2556: 2553: 2550: 2547: 2544: 2541: 2538: 2535: 2532: 2529: 2526: 2523: 2520: 2517: 2514: 2511: 2508: 2505: 2502: 2499: 2496: 2493: 2490: 2487: 2476: 2468: 2467: 2464: 2461: 2458: 2455: 2452: 2449: 2446: 2443: 2440: 2437: 2434: 2431: 2428: 2425: 2422: 2419: 2416: 2413: 2410: 2407: 2404: 2401: 2398: 2395: 2392: 2389: 2386: 2383: 2380: 2377: 2374: 2371: 2368: 2365: 2362: 2359: 2356: 2353: 2350: 2347: 2344: 2341: 2338: 2335: 2332: 2329: 2326: 2323: 2320: 2317: 2314: 2311: 2308: 2305: 2302: 2299: 2296: 2293: 2290: 2287: 2284: 2281: 2278: 2275: 2272: 2269: 2266: 2263: 2260: 2257: 2254: 2251: 2248: 2245: 2242: 2239: 2236: 2233: 2230: 2227: 2224: 2218: 2217: 2214: 2211: 2208: 2205: 2202: 2199: 2196: 2193: 2190: 2187: 2184: 2181: 2178: 2175: 2172: 2169: 2166: 2163: 2160: 2157: 2154: 2151: 2148: 2145: 2142: 2139: 2136: 2133: 2130: 2127: 2124: 2121: 2118: 2115: 2112: 2106: 2105: 2102: 2099: 2096: 2093: 2090: 2087: 2084: 2081: 2078: 2075: 2072: 2069: 2066: 2063: 2060: 2057: 2051: 2050: 2047: 2044: 2041: 2038: 2035: 2032: 2029: 2026: 2023: 2020: 2017: 2014: 2011: 2008: 2005: 2002: 1999: 1996: 1993: 1990: 1987: 1984: 1981: 1978: 1975: 1972: 1969: 1966: 1963: 1960: 1957: 1954: 1951: 1948: 1945: 1942: 1936: 1935: 1932: 1929: 1926: 1923: 1920: 1917: 1914: 1911: 1908: 1905: 1902: 1899: 1896: 1893: 1890: 1887: 1884: 1881: 1878: 1875: 1872: 1869: 1866: 1863: 1860: 1857: 1854: 1851: 1848: 1845: 1842: 1839: 1829: 1824: 1823: 1820: 1817: 1814: 1811: 1808: 1805: 1802: 1799: 1796: 1793: 1790: 1787: 1784: 1781: 1778: 1775: 1772: 1769: 1766: 1763: 1760: 1757: 1754: 1751: 1748: 1745: 1742: 1732: 1727: 1726: 1723: 1720: 1717: 1714: 1711: 1708: 1705: 1702: 1699: 1696: 1693: 1690: 1687: 1684: 1681: 1678: 1675: 1672: 1669: 1666: 1663: 1660: 1657: 1654: 1651: 1648: 1645: 1642: 1639: 1636: 1633: 1630: 1627: 1624: 1621: 1618: 1615: 1612: 1609: 1606: 1603: 1600: 1597: 1594: 1591: 1588: 1585: 1582: 1579: 1576: 1573: 1570: 1567: 1564: 1561: 1558: 1555: 1552: 1549: 1546: 1543: 1540: 1537: 1534: 1531: 1528: 1525: 1522: 1519: 1516: 1513: 1510: 1507: 1504: 1501: 1498: 1495: 1492: 1489: 1486: 1483: 1480: 1477: 1474: 1471: 1468: 1465: 1462: 1459: 1456: 1453: 1450: 1447: 1444: 1441: 1438: 1435: 1432: 1429: 1426: 1423: 1420: 1417: 1414: 1411: 1408: 1405: 1402: 1399: 1396: 1393: 1390: 1387: 1384: 1381: 1378: 1375: 1372: 1369: 1366: 1363: 1360: 1357: 1354: 1351: 1348: 1345: 1342: 1339: 1336: 1333: 1330: 1327: 1321: 1320: 1317: 1314: 1311: 1308: 1305: 1302: 1299: 1296: 1293: 1290: 1283: 1279: 1275: 1271: 1264: 1251:wait for 10 ns; 1250: 1243: 1230: 1226: 1222: 1218: 1214: 1210: 1206: 1202: 1198: 1193:, specifically 1186: 1182: 1171: 1168: 1167: 1164: 1161: 1158: 1155: 1152: 1149: 1146: 1143: 1140: 1137: 1134: 1131: 1128: 1125: 1122: 1119: 1116: 1113: 1110: 1107: 1104: 1101: 1098: 1095: 1092: 1089: 1086: 1083: 1080: 1077: 1074: 1071: 1068: 1065: 1062: 1059: 1056: 1053: 1050: 1047: 1044: 1041: 1038: 1035: 1032: 1029: 1026: 1023: 1020: 1017: 1014: 1011: 1008: 1005: 1002: 999: 996: 993: 990: 987: 984: 981: 978: 949: 943: 940: 933: 921: 917: 910: 908:Design examples 863: 767: 725: 685:protected types 666: 632: 630:Standardization 573:operator, etc. 570: 562: 551: 547: 543: 539: 520: 516: 512: 462:logic synthesis 442: 402:digital systems 289: 284: 282: 279: 228: 217: 216: 215: 210: 204: 201: 194: 182:This article's 178: 163: 152: 146: 143: 100: 98: 88: 76: 35: 31: 24: 17: 12: 11: 5: 6512: 6510: 6502: 6501: 6496: 6491: 6486: 6481: 6479:IEEE standards 6476: 6466: 6465: 6459: 6458: 6455: 6454: 6451: 6450: 6448: 6447: 6442: 6437: 6436: 6435: 6430: 6420: 6419: 6418: 6408: 6403: 6398: 6392: 6390: 6384: 6383: 6381: 6380: 6375: 6370: 6365: 6360: 6355: 6350: 6345: 6339: 6337: 6328: 6320: 6319: 6317: 6316: 6311: 6306: 6301: 6296: 6291: 6285: 6283: 6279: 6278: 6276: 6275: 6270: 6265: 6259: 6257: 6250: 6246: 6245: 6243: 6242: 6237: 6232: 6227: 6222: 6217: 6212: 6207: 6202: 6197: 6192: 6187: 6182: 6177: 6171: 6169: 6165: 6164: 6162: 6161: 6156: 6151: 6146: 6141: 6136: 6131: 6126: 6121: 6116: 6111: 6106: 6101: 6096: 6091: 6086: 6085: 6084: 6074: 6073: 6072: 6067: 6057: 6056: 6055: 6050: 6039: 6037: 6031: 6030: 6028: 6027: 6022: 6017: 6012: 6007: 6006: 6005: 5995: 5990: 5985: 5980: 5975: 5970: 5965: 5964: 5963: 5953: 5948: 5942: 5940: 5936: 5935: 5930: 5928: 5927: 5920: 5913: 5905: 5896: 5895: 5893: 5892: 5887: 5882: 5876: 5873: 5872: 5870: 5869: 5864: 5859: 5854: 5849: 5844: 5839: 5833: 5831: 5827: 5826: 5824: 5823: 5818: 5813: 5808: 5803: 5797: 5795: 5791: 5790: 5787: 5786: 5784: 5783: 5778: 5773: 5768: 5763: 5758: 5753: 5748: 5743: 5738: 5733: 5728: 5718: 5713: 5708: 5697: 5695: 5689: 5688: 5686: 5685: 5673: 5670: 5667: 5664: 5661: 5649: 5646: 5643: 5638: 5635: 5632: 5627: 5615: 5612: 5609: 5604: 5599: 5594: 5589: 5586: 5576: 5564: 5561: 5556: 5551: 5546: 5541: 5536: 5531: 5526: 5521: 5509: 5504: 5499: 5494: 5489: 5484: 5479: 5474: 5469: 5464: 5459: 5454: 5449: 5443: 5441: 5429: 5428: 5426: 5425: 5420: 5415: 5410: 5405: 5400: 5395: 5390: 5385: 5380: 5375: 5370: 5365: 5360: 5355: 5350: 5345: 5340: 5335: 5330: 5325: 5320: 5315: 5310: 5305: 5300: 5295: 5290: 5285: 5280: 5273: 5268: 5263: 5258: 5253: 5246: 5241: 5236: 5231: 5226: 5219: 5214: 5209: 5204: 5199: 5194: 5189: 5184: 5179: 5174: 5169: 5164: 5159: 5154: 5149: 5143: 5141: 5129: 5128: 5126: 5125: 5120: 5110: 5105: 5100: 5095: 5090: 5085: 5080: 5075: 5070: 5065: 5060: 5055: 5050: 5045: 5040: 5035: 5030: 5025: 5019: 5017: 5011: 5010: 5008: 5007: 5002: 4997: 4992: 4987: 4982: 4977: 4976: 4975: 4965: 4960: 4955: 4950: 4945: 4940: 4935: 4930: 4925: 4920: 4914: 4912: 4903: 4897: 4896: 4894: 4893: 4888: 4883: 4878: 4873: 4868: 4863: 4858: 4853: 4848: 4843: 4838: 4833: 4828: 4823: 4818: 4813: 4808: 4803: 4798: 4793: 4788: 4783: 4778: 4773: 4768: 4763: 4758: 4753: 4748: 4743: 4738: 4733: 4728: 4723: 4718: 4713: 4708: 4703: 4698: 4693: 4688: 4683: 4678: 4673: 4668: 4663: 4658: 4653: 4648: 4643: 4638: 4633: 4628: 4627: 4626: 4616: 4611: 4606: 4600: 4598: 4594: 4593: 4590:IEEE standards 4588: 4586: 4585: 4578: 4571: 4563: 4557: 4556: 4551: 4540: 4539:External links 4537: 4536: 4535: 4524: 4516:|journal= 4501:on 2016-03-14. 4484: 4476:|journal= 4461:on 2003-12-10. 4444: 4426: 4425:on 2015-02-13. 4416: 4403: 4400: 4398: 4397: 4391: 4370: 4369: 4368: 4362: 4361: 4343: 4321: 4306: 4288: 4270: 4241: 4216: 4191:Jiri Gaisler. 4183: 4161: 4139: 4107: 4093: 4086: 4061: 4054: 4029: 4022: 3997: 3990: 3965: 3958: 3933: 3926: 3901: 3890: 3883: 3858: 3851: 3823: 3799: 3792: 3771: 3770: 3768: 3765: 3763: 3762: 3757: 3752: 3747: 3742: 3736: 3731: 3726: 3719: 3717: 3714: 3713: 3712: 3706: 3700: 3694: 3685: 3668: 3661:EDA Playground 3654: 3653: 3644: 3638: 3632: 3626: 3618: 3604:Main article: 3601: 3598: 3597: 3596: 3595: 3594: 3593:std_logic_misc 3591: 3588: 3585: 3579:std_logic_1164 3575: 3563: 3560: 3555: 3552: 3210: 3207: 3204: 3202: 3199: 2971: 2894: 2887: 2884: 2486: 2475: 2472: 2223: 2111: 2056: 1941: 1838: 1828: 1825: 1741: 1731: 1730:Latch template 1728: 1658:"10" 1637:"01" 1616:"00" 1326: 1289: 1263: 1260: 1242: 1239: 1195:9-valued logic 977: 965:configurations 951: 950: 924: 922: 915: 909: 906: 862: 859: 803:strongly typed 766: 763: 762: 761: 755: 752: 749: 746: 739: 736: 733: 724: 721: 720: 719: 716: 715: 714: 708:external names 703: 700: 699: 698: 688: 681: 670: 665: 662: 631: 628: 565:type to allow 532:drive strength 441: 438: 374: 373: 372: 371: 357: 356: 346: 345: 341: 340: 334: 333: 327: 326: 321: 317: 316: 313: 307: 306: 301: 295: 294: 291: 290: 277: 275: 273:Stable release 269: 268: 265: 264: 261: 257: 256: 243: 230: 229: 212: 211: 191:the key points 181: 179: 172: 165: 164: 79: 77: 70: 65: 39: 38: 36: 29: 15: 13: 10: 9: 6: 4: 3: 2: 6511: 6500: 6497: 6495: 6492: 6490: 6487: 6485: 6484:IEC standards 6482: 6480: 6477: 6475: 6472: 6471: 6469: 6446: 6443: 6441: 6438: 6434: 6431: 6429: 6426: 6425: 6424: 6421: 6417: 6414: 6413: 6412: 6409: 6407: 6404: 6402: 6401:LatticeMico32 6399: 6397: 6394: 6393: 6391: 6389: 6385: 6379: 6376: 6374: 6371: 6369: 6366: 6364: 6361: 6359: 6356: 6354: 6351: 6349: 6346: 6344: 6341: 6340: 6338: 6336: 6332: 6329: 6327: 6321: 6315: 6312: 6310: 6307: 6305: 6302: 6300: 6297: 6295: 6292: 6290: 6287: 6286: 6284: 6280: 6274: 6271: 6269: 6266: 6264: 6261: 6260: 6258: 6254: 6251: 6247: 6241: 6238: 6236: 6233: 6231: 6228: 6226: 6223: 6221: 6218: 6216: 6213: 6211: 6208: 6206: 6203: 6201: 6198: 6196: 6193: 6191: 6188: 6186: 6183: 6181: 6178: 6176: 6173: 6172: 6170: 6166: 6160: 6157: 6155: 6152: 6150: 6147: 6145: 6142: 6140: 6137: 6135: 6132: 6130: 6127: 6125: 6122: 6120: 6117: 6115: 6112: 6110: 6107: 6105: 6102: 6100: 6097: 6095: 6092: 6090: 6087: 6083: 6080: 6079: 6078: 6077:SystemVerilog 6075: 6071: 6068: 6066: 6063: 6062: 6061: 6058: 6054: 6051: 6049: 6046: 6045: 6044: 6041: 6040: 6038: 6036: 6032: 6026: 6023: 6021: 6018: 6016: 6013: 6011: 6008: 6004: 6001: 6000: 5999: 5996: 5994: 5991: 5989: 5986: 5984: 5981: 5979: 5976: 5974: 5971: 5969: 5966: 5962: 5959: 5958: 5957: 5954: 5952: 5949: 5947: 5944: 5943: 5941: 5937: 5933: 5926: 5921: 5919: 5914: 5912: 5907: 5906: 5903: 5891: 5888: 5886: 5883: 5881: 5878: 5877: 5874: 5868: 5865: 5863: 5860: 5858: 5855: 5853: 5850: 5848: 5845: 5843: 5840: 5838: 5835: 5834: 5832: 5828: 5822: 5819: 5817: 5814: 5812: 5809: 5807: 5804: 5802: 5799: 5798: 5796: 5792: 5782: 5779: 5777: 5774: 5772: 5769: 5767: 5764: 5762: 5759: 5757: 5754: 5752: 5749: 5747: 5744: 5742: 5739: 5737: 5734: 5732: 5729: 5726: 5722: 5719: 5717: 5714: 5712: 5709: 5706: 5702: 5699: 5698: 5696: 5694: 5690: 5683: 5679: 5678: 5674: 5671: 5668: 5665: 5662: 5659: 5655: 5654: 5650: 5647: 5644: 5642: 5639: 5636: 5633: 5631: 5628: 5625: 5621: 5620: 5616: 5613: 5610: 5608: 5605: 5603: 5600: 5598: 5595: 5593: 5590: 5587: 5584: 5580: 5577: 5574: 5570: 5569: 5565: 5562: 5560: 5557: 5555: 5552: 5550: 5547: 5545: 5542: 5540: 5537: 5535: 5532: 5530: 5527: 5525: 5522: 5519: 5515: 5514: 5510: 5508: 5505: 5503: 5500: 5498: 5495: 5493: 5490: 5488: 5485: 5483: 5480: 5478: 5475: 5473: 5470: 5468: 5465: 5463: 5460: 5458: 5455: 5453: 5450: 5448: 5445: 5444: 5442: 5439: 5434: 5430: 5424: 5421: 5419: 5416: 5414: 5411: 5409: 5406: 5404: 5401: 5399: 5396: 5394: 5391: 5389: 5386: 5384: 5381: 5379: 5376: 5374: 5371: 5369: 5366: 5364: 5361: 5359: 5356: 5354: 5351: 5349: 5346: 5344: 5341: 5339: 5336: 5334: 5331: 5329: 5326: 5324: 5321: 5319: 5316: 5314: 5311: 5309: 5306: 5304: 5301: 5299: 5296: 5294: 5291: 5289: 5286: 5284: 5281: 5279: 5278: 5274: 5272: 5269: 5267: 5264: 5262: 5259: 5257: 5254: 5252: 5251: 5247: 5245: 5242: 5240: 5237: 5235: 5232: 5230: 5227: 5225: 5224: 5220: 5218: 5215: 5213: 5210: 5208: 5205: 5203: 5200: 5198: 5195: 5193: 5190: 5188: 5185: 5183: 5180: 5178: 5175: 5173: 5170: 5168: 5165: 5163: 5160: 5158: 5155: 5153: 5150: 5148: 5145: 5144: 5142: 5139: 5134: 5130: 5124: 5121: 5118: 5114: 5111: 5109: 5106: 5104: 5101: 5099: 5096: 5094: 5091: 5089: 5086: 5084: 5081: 5079: 5076: 5074: 5071: 5069: 5066: 5064: 5061: 5059: 5056: 5054: 5051: 5049: 5046: 5044: 5041: 5039: 5036: 5034: 5031: 5029: 5026: 5024: 5021: 5020: 5018: 5016: 5012: 5006: 5003: 5001: 4998: 4996: 4993: 4991: 4988: 4986: 4983: 4981: 4978: 4974: 4973:WiMAX · d · e 4971: 4970: 4969: 4966: 4964: 4961: 4959: 4956: 4954: 4951: 4949: 4946: 4944: 4941: 4939: 4936: 4934: 4931: 4929: 4926: 4924: 4921: 4919: 4916: 4915: 4913: 4911: 4907: 4904: 4902: 4898: 4892: 4889: 4887: 4884: 4882: 4879: 4877: 4874: 4872: 4869: 4867: 4864: 4862: 4859: 4857: 4854: 4852: 4849: 4847: 4844: 4842: 4839: 4837: 4834: 4832: 4829: 4827: 4824: 4822: 4819: 4817: 4814: 4812: 4809: 4807: 4804: 4802: 4799: 4797: 4794: 4792: 4789: 4787: 4784: 4782: 4779: 4777: 4774: 4772: 4769: 4767: 4764: 4762: 4759: 4757: 4754: 4752: 4749: 4747: 4744: 4742: 4739: 4737: 4734: 4732: 4729: 4727: 4724: 4722: 4719: 4717: 4714: 4712: 4709: 4707: 4704: 4702: 4699: 4697: 4694: 4692: 4689: 4687: 4684: 4682: 4679: 4677: 4674: 4672: 4669: 4667: 4664: 4662: 4659: 4657: 4654: 4652: 4649: 4647: 4644: 4642: 4639: 4637: 4634: 4632: 4629: 4625: 4622: 4621: 4620: 4617: 4615: 4612: 4610: 4607: 4605: 4602: 4601: 4599: 4595: 4591: 4584: 4579: 4577: 4572: 4570: 4565: 4564: 4561: 4555: 4552: 4548: 4543: 4542: 4538: 4533: 4532:0-7923-7766-4 4529: 4525: 4521: 4508: 4497: 4490: 4485: 4481: 4468: 4457: 4450: 4445: 4442: 4436: 4432: 4427: 4424: 4420: 4417: 4414: 4413:0-1208-8785-1 4410: 4406: 4405: 4401: 4394: 4392:0-7381-0987-8 4388: 4384: 4380: 4376: 4372: 4371: 4366: 4365: 4357: 4353: 4347: 4344: 4332: 4325: 4322: 4317: 4310: 4307: 4302: 4298: 4292: 4289: 4284: 4280: 4274: 4271: 4263: 4259: 4252: 4245: 4242: 4231:. 16 May 2020 4230: 4226: 4220: 4217: 4201: 4194: 4187: 4184: 4171: 4165: 4162: 4149: 4143: 4140: 4124: 4117: 4111: 4108: 4103: 4097: 4094: 4089: 4083: 4079: 4075: 4071: 4065: 4062: 4057: 4051: 4047: 4043: 4039: 4033: 4030: 4025: 4023:2-8318-7691-5 4019: 4015: 4011: 4007: 4001: 3998: 3993: 3991:0-7381-3247-0 3987: 3983: 3979: 3975: 3969: 3966: 3961: 3959:0-7381-1948-2 3955: 3951: 3947: 3943: 3937: 3934: 3929: 3927:0-7381-0986-X 3923: 3919: 3915: 3911: 3905: 3902: 3899: 3894: 3891: 3886: 3880: 3876: 3872: 3868: 3862: 3859: 3854: 3852:0-7381-4324-3 3848: 3844: 3840: 3836: 3830: 3828: 3824: 3812: 3811: 3803: 3800: 3795: 3789: 3785: 3784: 3776: 3773: 3766: 3761: 3758: 3756: 3753: 3751: 3750:SystemVerilog 3748: 3746: 3743: 3740: 3737: 3735: 3732: 3730: 3727: 3724: 3721: 3720: 3715: 3710: 3707: 3704: 3701: 3698: 3695: 3693: 3689: 3686: 3684: 3680: 3676: 3672: 3669: 3666: 3662: 3659: 3658: 3657: 3651: 3648: 3645: 3642: 3639: 3637: 3633: 3631: 3627: 3625: 3622: 3619: 3617: 3613: 3612: 3611: 3607: 3599: 3592: 3589: 3586: 3583: 3582: 3581: 3580: 3576: 3574: 3573: 3569: 3568: 3567: 3561: 3559: 3553: 3205: 3200: 2969: 2892: 2885: 2883: 2484: 2482: 2473: 2471: 2221: 2109: 2054: 1939: 1836: 1834: 1826: 1739: 1737: 1729: 1324: 1287: 1269: 1261: 1259: 1256: 1247: 1240: 1238: 1234: 1196: 1192: 1179: 1178: 1170:(Notice that 975: 973: 968: 966: 962: 958: 947: 937: 932: 930: 925:This section 923: 914: 913: 907: 905: 903: 899: 895: 890: 888: 883: 879: 876: 872: 867: 860: 858: 856: 852: 847: 844: 839: 837: 833: 827: 824: 818: 816: 812: 808: 804: 800: 796: 792: 787: 784: 780: 775: 773: 764: 759: 756: 753: 750: 747: 744: 740: 737: 734: 731: 727: 726: 722: 717: 712: 711: 709: 704: 701: 696: 695: 694:are relaxed. 693: 689: 686: 682: 679: 678:1-55937-376-8 675: 671: 668: 667: 663: 661: 658: 656: 652: 648: 644: 641: 637: 629: 627: 623: 621: 617: 613: 609: 604: 602: 598: 593: 591: 587: 581: 579: 574: 568: 560: 555: 550:parent type, 537: 533: 528: 526: 510: 506: 502: 498: 494: 490: 486: 482: 477: 475: 471: 465: 463: 459: 454: 451: 447: 439: 437: 435: 431: 427: 423: 419: 415: 411: 407: 403: 399: 395: 391: 385: 380: 369: 365: 361: 360: 358: 355: 351: 347: 344:Influenced by 342: 339: 335: 332: 328: 325: 322: 318: 314: 312: 308: 305: 302: 300: 296: 292: 276: 274: 270: 266: 262: 258: 255: 251: 247: 244: 242: 238: 226: 223: 208: 205:December 2020 198: 192: 190: 185: 180: 176: 171: 170: 161: 158: 150: 147:February 2017 139: 136: 132: 129: 125: 122: 118: 115: 111: 108: –  107: 103: 102:Find sources: 96: 92: 86: 85: 80:This article 78: 74: 69: 68: 63: 61: 54: 53: 48: 47: 42: 37: 28: 27: 22: 6358:LatticeMico8 6348:ARM Cortex-M 6324:Intellectual 6059: 5879: 5675: 5651: 5617: 5566: 5511: 5275: 5248: 5221: 4665: 4507:cite journal 4496:the original 4467:cite journal 4456:the original 4434: 4374: 4356:the original 4346: 4334:. Retrieved 4324: 4309: 4300: 4291: 4283:synopsis.com 4282: 4273: 4244: 4233:. Retrieved 4229:FPGAtutorial 4228: 4219: 4207:. Retrieved 4186: 4174:. Retrieved 4164: 4152:. Retrieved 4142: 4130:. Retrieved 4110: 4096: 4069: 4064: 4037: 4032: 4005: 4000: 3973: 3968: 3941: 3936: 3909: 3904: 3893: 3866: 3861: 3834: 3816:November 15, 3814:. Retrieved 3809: 3802: 3782: 3775: 3655: 3610:Commercial: 3609: 3578: 3570: 3565: 3557: 3545:architecture 3341:architecture 3290:architecture 3251:architecture 2966: 2889: 2876: 2866:architecture 2689:architecture 2480: 2477: 2469: 2330:architecture 2219: 2107: 2052: 1937: 1830: 1733: 1538:architecture 1322: 1265: 1262:MUX template 1254: 1248: 1244: 1235: 1181:such as the 1175: 1169: 1159:architecture 1120:architecture 969: 964: 961:architecture 960: 956: 954: 944:January 2013 941: 934:Please help 926: 891: 884: 880: 873:. VHDL is a 868: 864: 848: 840: 834:rather than 828: 822: 819: 814: 810: 798: 794: 788: 776: 771: 768: 707: 692:buffer ports 691: 684: 659: 633: 624: 615: 611: 605: 594: 582: 575: 557:The updated 556: 529: 491:), logical ( 478: 466: 455: 443: 430:mixed-signal 424:. To model 421: 417: 389: 388: 370:at Wikibooks 218: 202: 186: 184:lead section 153: 144: 134: 127: 120: 113: 101: 89:Please help 84:verification 81: 57: 50: 44: 43:Please help 40: 6388:Open-source 6335:Proprietary 6144:Flow to HDL 5961:Logic block 5452:legacy mode 4443:constructs. 4437:. EE Times. 4209:15 November 4176:22 December 4154:22 December 4132:23 February 3739:numeric std 3697:VHDL Simili 3675:open source 3665:Riviera-PRO 3572:numeric_std 3494:'1' 3467:'0' 3440:'1' 3180:'0' 3162:rising_edge 3150:'1' 3105:rising_edge 3081:to_unsigned 3030:rising_edge 2991:'1' 2935:'0' 2908:'1' 2782:'1' 2758:rising_edge 2749:'0' 2411:'0' 2396:'1' 2369:rising_edge 2191:'0' 2140:rising_edge 2094:rising_edge 2082:'1' 2070:'0' 2012:'1' 1988:'0' 1888:rising_edge 1879:'0' 1831:The D-type 1764:'1' 1309:'1' 1282:and output 1278:, selector 1268:multiplexer 1174:stands for 898:type system 791:parallelism 783:delta delay 743:numeric std 406:logic gates 6468:Categories 6363:MicroBlaze 6314:Simulators 6294:Xilinx ISE 5830:Superseded 4901:802 series 4235:2020-08-23 3767:References 3616:Active-HDL 2462:Behavioral 2390:sync_reset 2333:Behavioral 2291:sync_reset 2000:'event 861:Advantages 567:ISO-8859-1 548:std_Ulogic 517:bit_vector 416:(IEEE) as 285:2019-12-23 246:concurrent 117:newspapers 46:improve it 6433:Microwatt 6428:Libre-SOC 6423:Power ISA 6406:OpenCores 6368:PicoBlaze 6175:Accellera 6168:Companies 6035:Languages 5705:Bluetooth 3422:std_logic 3275:std_logic 2605:std_logic 2590:std_logic 2575:std_logic 2315:std_logic 2300:std_logic 2285:std_logic 2270:std_logic 1833:flip-flop 1183:std_logic 1099:std_logic 1084:std_logic 1069:std_logic 970:A simple 823:testbench 795:processes 772:testbench 758:IEEE 1164 664:Revisions 608:Accellera 601:microwave 563:character 559:IEEE 1076 552:std_logic 540:std_logic 521:character 501:character 324:IEEE VASG 189:summarize 52:talk page 6411:OpenRISC 6326:property 6304:ModelSim 6282:Software 6256:Hardware 6249:Products 6235:Synopsys 6205:Infineon 6180:Achronix 6139:C to HDL 6134:OpenVera 6099:Handel-C 5939:Concepts 5880:See also 5837:754-1985 5794:Proposed 5138:Ethernet 4624:Revision 4377:. 1992. 4336:July 23, 4262:Archived 4200:Archived 4172:. Doulos 4150:. Doulos 4123:Archived 4072:. 2011. 4040:. 2007. 4008:. 2004. 3976:. 2002. 3944:. 2000. 3912:. 1994. 3869:. 2009. 3837:. 1988. 3716:See also 3641:Synopsys 3630:ModelSim 3624:Incisive 3488:probe_en 3461:probe_en 3434:probe_en 3425:>> 3395:<< 3389:probe_en 2815:unsigned 2481:generics 972:AND gate 904:types). 730:VHDL-AMS 616:generate 590:VHDL-AMS 434:VHDL-AMS 338:VHDL-AMS 331:Dialects 254:dataflow 250:reactive 241:Paradigm 6378:Nios II 6268:Stratix 6230:Siemens 6215:Lattice 6200:Cadence 6089:SystemC 6043:Verilog 5821:P1906.1 5682:Wi-Fi 8 5658:Wi-Fi 7 5624:Wi-Fi 6 5573:Wi-Fi 5 5518:Wi-Fi 4 4597:Current 4441:Verilog 3755:Verilog 3745:SystemC 3709:freehdl 3692:GTKWave 3656:Other: 3621:Cadence 3536:process 3515:std.env 3383:process 3302:library 3212:library 3192:process 2973:process 2959:process 2896:process 2857:process 2707:process 2698:COUNTER 2683:COUNTER 2548:natural 2533:generic 2527:COUNTER 2488:library 2453:process 2348:process 2225:Library 2209:process 2119:process 2042:process 1949:process 1927:process 1846:process 1818:process 1779:process 1709:process 1565:process 1328:library 1129:ANDGATE 1111:ANDGATE 1048:ANDGATE 1021:library 997:comment 894:Verilog 805:and is 523:called 519:and of 515:called 507:, plus 497:Boolean 485:integer 440:History 320:Website 283: ( 131:scholar 21:Verilog 6440:RISC-V 6299:Vivado 6273:Virtex 6159:Chisel 6119:PALASM 6003:Xputer 5725:Zigbee 5693:802.15 5433:802.11 4671:1149.1 4530:  4411:  4389:  4084:  4052:  4020:  3988:  3956:  3924:  3881:  3849:  3790:  3729:Chisel 3725:(AHDL) 3683:GitHub 3673:is an 3647:Xilinx 3643:VCS-MX 3614:Aldec 3398:signal 3365:entity 3335:entity 3323:entity 3266:signal 3245:entity 3233:entity 3135:RESULT 2743:others 2680:entity 2668:downto 2635:downto 2524:entity 2246:entity 1809:Enable 1758:Enable 1679:others 1517:downto 1490:downto 1463:downto 1436:downto 1409:downto 1382:downto 1349:entity 1108:entity 1045:entity 957:entity 902:record 765:Design 676:  525:string 509:arrays 474:syntax 426:analog 354:Pascal 304:strong 133:  126:  119:  112:  106:"VHDL" 104:  6210:Intel 6190:Aldec 6149:MyHDL 6070:VITAL 5816:P1823 5811:P1699 5806:P1619 5801:P1363 5583:WiGig 5447:-1997 5438:Wi-Fi 5147:-1983 5133:802.3 5015:802.1 4891:42010 4886:29148 4881:16326 4876:16085 4871:14764 4866:12207 4861:11073 4499:(PDF) 4492:(PDF) 4459:(PDF) 4452:(PDF) 4367:Notes 4265:(PDF) 4254:(PDF) 4203:(PDF) 4196:(PDF) 4126:(PDF) 4119:(PDF) 3734:Gezel 3491:<= 3464:<= 3437:<= 3431:begin 3404:test1 3386:alias 3356:begin 3350:test1 3326:test1 3281:begin 3177:<= 3159:until 3147:<= 3102:until 3078:<= 3027:until 2985:START 2982:until 2976:begin 2932:<= 2905:<= 2899:begin 2806:<= 2791:<= 2755:elsif 2746:=> 2737:<= 2722:begin 2704:begin 2659:WIDTH 2626:WIDTH 2539:WIDTH 2423:<= 2408:<= 2360:begin 2345:begin 2188:<= 2158:<= 2134:begin 2067:<= 2021:<= 1994:elsif 1985:<= 1970:begin 1906:<= 1885:elsif 1876:<= 1861:begin 1797:<= 1791:begin 1749:<= 1712:p_mux 1688:<= 1682:=> 1667:<= 1661:=> 1646:<= 1640:=> 1625:<= 1619:=> 1601:begin 1559:p_mux 1556:begin 1294:<= 1141:<= 1135:begin 994:block 799:tasks 640:VHSIC 597:VITAL 450:ASICs 410:VHSIC 394:VHSIC 384:adder 263:1980s 138:JSTOR 124:books 6416:1200 6373:Nios 6353:LEON 6154:ELLA 6129:CUPL 6124:ABEL 6104:Lola 6094:AHDL 6060:VHDL 5993:PSoC 5973:EPLD 5968:CPLD 5956:FPGA 5946:ASIC 5867:1471 5862:1364 5857:1362 5852:1233 5847:1219 5117:LACP 4856:2050 4851:2030 4846:1905 4841:1904 4836:1902 4831:1901 4826:1900 4821:1855 4816:1850 4811:1849 4806:1815 4801:1801 4796:1800 4791:1733 4786:1722 4781:1685 4776:1675 4771:1667 4766:1666 4761:1619 4756:1613 4751:1603 4746:1596 4741:1588 4736:1584 4731:1547 4726:1541 4721:1516 4716:1497 4711:1451 4706:1394 4701:1355 4696:1284 4691:1278 4686:1275 4681:1164 4676:1154 4666:1076 4661:1016 4656:1014 4651:1003 4528:ISBN 4520:help 4480:help 4409:ISBN 4387:ISBN 4338:2023 4211:2017 4178:2012 4156:2012 4134:2017 4082:ISBN 4050:ISBN 4018:ISBN 3986:ISBN 3954:ISBN 3922:ISBN 3879:ISBN 3847:ISBN 3818:2017 3788:ISBN 3688:boot 3679:GHDL 3671:GHDL 3521:stop 3500:wait 3473:wait 3446:wait 3410:ibfm 3368:work 3359:ibfm 3305:ieee 3215:ieee 3156:wait 3129:wait 3120:loop 3099:wait 3075:DATA 3069:loop 3045:loop 3024:wait 3018:loop 2979:wait 2941:wait 2914:wait 2800:else 2794:DATA 2785:then 2776:LOAD 2770:then 2731:then 2611:DATA 2596:LOAD 2560:port 2491:IEEE 2417:else 2402:then 2381:then 2255:port 2228:IEEE 2182:then 2152:then 2091:when 2085:else 2073:when 2015:then 1979:then 1900:then 1870:then 1803:when 1767:else 1755:when 1700:case 1676:when 1655:when 1634:when 1613:when 1604:case 1547:mux4 1532:mux4 1358:port 1352:mux4 1331:IEEE 1312:else 1300:when 1274:and 1266:The 1054:port 1024:IEEE 1009:2008 1003:VHDL 985:this 887:VLSI 855:FPGA 851:CPLD 813:and 811:nand 674:ISBN 636:IEEE 634:The 614:and 612:case 571:xnor 505:time 503:and 495:and 489:real 487:and 481:IEEE 428:and 390:VHDL 315:.vhd 235:VHDL 110:news 6445:Zet 6396:JOP 6343:ARC 6309:VTR 6263:iCE 6225:NXP 6195:Arm 6185:AMD 6114:UPF 6109:PSL 6082:DPI 6065:AMS 6053:AMS 5988:GAL 5983:PAL 5978:PLA 5951:SoC 5842:830 5766:.4z 5761:.4g 5756:.4f 5751:.4e 5746:.4d 5741:.4c 5736:.4b 5731:.4a 5058:Qbb 5053:Qaz 5048:Qay 5043:Qat 5038:Qav 5005:.24 5000:.22 4995:.21 4990:.20 4985:.18 4980:.17 4968:.16 4963:.14 4958:.12 4953:.10 4910:802 4646:896 4641:829 4636:828 4631:854 4619:754 4614:730 4609:693 4604:488 4379:doi 4074:doi 4042:doi 4010:doi 3978:doi 3946:doi 3914:doi 3871:doi 3839:doi 3703:nvc 3681:on 3542:end 3533:end 3506:100 3503:for 3479:100 3476:for 3452:100 3449:for 3374:bfm 3344:beh 3332:end 3317:all 3311:use 3287:end 3260:bfm 3254:beh 3242:end 3236:bfm 3227:all 3221:use 3189:end 3174:ACK 3168:CLK 3144:ACK 3117:end 3111:CLK 3051:for 3042:end 3036:CLK 3000:for 2956:end 2944:for 2929:CLK 2917:for 2902:CLK 2869:RTL 2863:end 2854:end 2845:end 2836:end 2764:CLK 2728:RST 2713:all 2692:RTL 2677:end 2674:)); 2650:out 2581:CLK 2566:RST 2515:all 2509:use 2503:all 2497:use 2459:end 2450:end 2441:end 2432:end 2375:Clk 2354:Clk 2321:end 2276:Clk 2267:out 2240:all 2234:USE 2220:or: 2212:DFF 2206:end 2197:end 2179:RST 2167:end 2146:CLK 2125:all 2113:DFF 2108:or 2100:clk 2076:RST 2058:DFF 2045:DFF 2039:end 2030:end 2006:CLK 2003:and 1997:CLK 1976:RST 1961:CLK 1955:RST 1943:DFF 1930:DFF 1924:end 1915:end 1894:CLK 1867:RST 1852:all 1840:DFF 1815:end 1785:all 1721:rtl 1718:end 1706:end 1697:end 1607:sel 1595:sel 1541:rtl 1529:end 1505:out 1472:sel 1343:all 1337:use 1187:bit 1172:RTL 1162:RTL 1156:end 1147:and 1123:RTL 1105:end 1096:out 1036:all 1030:use 853:or 843:RTL 815:nor 655:IBM 578:C++ 513:bit 511:of 499:), 493:bit 350:Ada 93:by 6470:: 5781:.7 5776:.6 5771:.5 5721:.4 5716:.3 5711:.2 5701:.1 5677:bn 5672:bk 5669:bi 5666:bh 5663:bf 5653:be 5648:bd 5645:bc 5641:bb 5637:ba 5634:az 5630:ay 5619:ax 5614:aq 5611:ak 5607:aj 5602:ai 5597:ah 5592:af 5588:ae 5579:ad 5568:ac 5563:aa 5423:df 5418:de 5413:dd 5408:db 5403:da 5398:cz 5393:cy 5388:cx 5383:cw 5378:cv 5373:cu 5368:ct 5363:cs 5358:cr 5353:cq 5348:cp 5343:cn 5338:cm 5333:ck 5328:ch 5323:cg 5318:ce 5313:cd 5308:cc 5303:cb 5298:ca 5293:bz 5288:by 5283:bu 5277:bt 5271:ba 5266:az 5261:av 5256:au 5250:at 5244:aq 5239:an 5234:ak 5229:ah 5223:af 5217:ae 5212:ad 5207:ac 5202:ab 5123:BA 5113:AX 5108:AS 5103:aq 5098:ak 5093:ah 5088:ag 5083:AE 5078:ad 5073:AB 4948:.9 4943:.8 4938:.7 4933:.6 4928:.5 4923:.4 4918:.2 4511:: 4509:}} 4505:{{ 4471:: 4469:}} 4465:{{ 4433:. 4385:. 4299:. 4281:. 4260:. 4256:. 4227:. 4198:. 4080:. 4048:. 4016:. 3984:. 3952:. 3920:. 3877:. 3845:. 3826:^ 3530:); 3509:ns 3482:ns 3455:ns 3416:en 3392:is 3353:is 3347:of 3329:is 3296:// 3269:en 3263:is 3257:of 3239:is 3171:); 3132:on 3114:); 3096:); 3066:10 3063:to 3057:in 3039:); 3015:10 3012:to 3006:in 2950:NS 2947:10 2923:NS 2920:10 2848:if 2839:if 2833:); 2773:if 2752:); 2725:if 2719:is 2701:is 2695:of 2641:); 2617:in 2602:in 2587:in 2572:in 2557:); 2554:32 2551::= 2545:in 2530:is 2444:if 2435:if 2384:if 2378:)) 2363:if 2342:is 2336:of 2318:); 2312:in 2297:in 2282:in 2252:is 2200:if 2176:if 2170:if 2137:if 2131:is 2103:); 2033:if 1973:if 1967:is 1918:if 1864:if 1858:is 1812:); 1734:A 1691:a4 1670:a3 1649:a2 1628:a1 1610:is 1589:a4 1583:a3 1577:a2 1571:a1 1550:is 1544:of 1526:); 1496:); 1478:in 1469:); 1451:in 1445:a4 1442:); 1424:in 1418:a3 1415:); 1397:in 1391:a2 1388:); 1370:in 1364:a1 1355:is 1150:I2 1144:I1 1132:is 1126:of 1102:); 1081:in 1075:I2 1066:in 1060:I1 1051:is 1015:*/ 988:is 982:/* 967:. 817:. 774:. 710:. 527:. 476:. 352:, 252:, 248:, 55:. 6048:A 5924:e 5917:t 5910:v 5727:) 5723:( 5707:) 5703:( 5684:) 5680:( 5660:) 5656:( 5626:) 5622:( 5585:) 5581:( 5575:) 5571:( 5559:z 5554:y 5549:w 5544:v 5539:u 5534:s 5529:r 5524:p 5520:) 5516:( 5513:n 5507:k 5502:j 5497:i 5492:h 5487:g 5482:f 5477:e 5472:d 5467:c 5462:b 5457:a 5440:) 5436:( 5197:z 5192:y 5187:x 5182:u 5177:j 5172:i 5167:e 5162:d 5157:b 5152:a 5140:) 5136:( 5119:) 5115:( 5068:X 5063:w 5033:Q 5028:p 5023:D 4582:e 4575:t 4568:v 4522:) 4518:( 4482:) 4478:( 4395:. 4381:: 4340:. 4318:. 4285:. 4238:. 4213:. 4180:. 4158:. 4136:. 4104:. 4090:. 4076:: 4058:. 4044:: 4026:. 4012:: 3994:. 3980:: 3962:. 3948:: 3930:. 3916:: 3887:. 3873:: 3855:. 3841:: 3820:. 3796:. 3548:; 3539:; 3527:0 3524:( 3518:. 3512:; 3497:; 3485:; 3470:; 3458:; 3443:; 3428:; 3419:: 3413:. 3407:. 3401:. 3377:; 3371:. 3362:: 3338:; 3320:; 3308:; 3293:; 3278:; 3272:: 3248:; 3230:; 3218:; 3195:; 3183:; 3165:( 3153:; 3138:; 3123:; 3108:( 3093:8 3090:, 3087:i 3084:( 3060:1 3054:i 3048:; 3033:( 3009:1 3003:i 2994:; 2988:= 2962:; 2953:; 2938:; 2926:; 2911:; 2872:; 2860:; 2851:; 2842:; 2830:1 2827:+ 2824:) 2821:Q 2818:( 2812:( 2803:Q 2797:; 2788:Q 2779:= 2767:) 2761:( 2740:( 2734:Q 2716:) 2710:( 2686:; 2671:0 2665:1 2662:- 2656:( 2647:: 2644:Q 2638:0 2632:1 2629:- 2623:( 2614:: 2608:; 2599:: 2593:; 2584:: 2578:; 2569:: 2563:( 2542:: 2536:( 2518:; 2506:; 2494:; 2465:; 2456:; 2447:; 2438:; 2429:; 2426:D 2420:Q 2414:; 2405:Q 2399:) 2393:= 2387:( 2372:( 2366:( 2357:) 2351:( 2327:; 2309:: 2306:D 2303:; 2294:: 2288:; 2279:: 2273:; 2264:: 2261:Q 2258:( 2243:; 2231:; 2215:; 2203:; 2194:; 2185:Q 2173:; 2164:; 2161:D 2155:Q 2149:) 2143:( 2128:) 2122:( 2116:: 2097:( 2088:D 2079:= 2064:Q 2061:: 2048:; 2036:; 2027:; 2024:D 2018:Q 2009:= 1991:; 1982:Q 1964:) 1958:, 1952:( 1946:: 1933:; 1921:; 1912:; 1909:D 1903:Q 1897:) 1891:( 1882:; 1873:Q 1855:) 1849:( 1843:: 1821:; 1806:( 1800:D 1794:Q 1788:) 1782:( 1773:; 1770:Q 1761:= 1752:D 1746:Q 1724:; 1715:; 1703:; 1694:; 1685:b 1673:; 1664:b 1652:; 1643:b 1631:; 1622:b 1598:) 1592:, 1586:, 1580:, 1574:, 1568:( 1562:: 1535:; 1523:) 1520:0 1514:2 1511:( 1502:: 1499:b 1493:0 1487:1 1484:( 1475:: 1466:0 1460:2 1457:( 1448:: 1439:0 1433:2 1430:( 1421:: 1412:0 1406:2 1403:( 1394:: 1385:0 1379:2 1376:( 1367:: 1361:( 1346:; 1334:; 1318:; 1315:B 1306:= 1303:S 1297:A 1291:X 1284:X 1280:S 1276:B 1272:A 1231:- 1229:, 1227:L 1225:, 1223:H 1221:, 1219:W 1217:, 1215:Z 1213:, 1211:1 1209:, 1207:0 1205:, 1203:X 1201:, 1199:U 1197:( 1165:; 1153:; 1138:O 1114:; 1093:: 1090:O 1087:; 1078:: 1072:; 1063:: 1057:( 1039:; 1027:; 1012:) 1006:- 1000:( 991:a 946:) 942:( 931:. 745:) 732:) 687:. 392:( 287:) 225:) 219:( 207:) 203:( 193:. 160:) 154:( 149:) 145:( 135:· 128:· 121:· 114:· 87:. 62:) 58:( 23:.

Index

Verilog
improve it
talk page
Learn how and when to remove these messages

verification
improve this article
adding citations to reliable sources
"VHDL"
news
newspapers
books
scholar
JSTOR
Learn how and when to remove this message

lead section
summarize
provide an accessible overview
Learn how and when to remove this message
Paradigm
concurrent
reactive
dataflow
Stable release
Typing discipline
strong
Filename extensions
IEEE VASG
Dialects

Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.