Knowledge (XXG)

Very-large-scale integration

Source đź“ť

2103: 2113: 50: 2123: 218: 274:
solved this problem by making all the components and the chip out of the same block (monolith) of semiconductor material. The circuits could be made smaller, and the manufacturing process could be automated. This led to the idea of integrating all components on a single-crystal silicon wafer, which
299:
in 1964. In the early 1970s, MOS integrated circuit technology allowed the integration of more than 10,000 transistors in a single chip. This paved the way for VLSI in the 1970s and 1980s, with tens of thousands of MOS transistors on a single chip (later hundreds of thousands, then millions, and now
533:
for a modern technology can cost several million dollars. This non-recurring expense deters the old iterative philosophy involving several "spin-cycles" to find errors in silicon, and encourages first-pass silicon success. Several design philosophies have been developed to aid this new design flow,
242:
dates to the 1920s when several inventors attempted devices that were intended to control current in solid-state diodes and convert them into triodes. Success came after World War II, when the use of silicon and germanium crystals as radar detectors led to improvements in fabrication and theory.
258:
With the small transistor at their hands, electrical engineers of the 1950s saw the possibilities of constructing far more advanced circuits. However, as the complexity of circuits grew, problems arose. One problem was the size of the circuit. A complex circuit like a computer was dependent on
303:
The first semiconductor chips held two transistors each. Subsequent advances added more transistors, and as a consequence, more individual functions or systems were integrated over time. The first integrated circuits held only a few devices, perhaps as many as ten
478:
has become increasingly stringent. Designers must keep in mind an ever increasing list of rules when laying out custom circuits. The overhead for custom design is now reaching a tipping point, with many design houses opting to switch to
952: 355:(ULSI) were used. But the huge number of gates and transistors available on common devices has rendered such fine distinctions moot. Terms suggesting greater than VLSI levels of integration are no longer in widespread use. 394:
for saving microchip area by minimizing the interconnect fabric area. This is obtained by repetitive arrangement of rectangular macro blocks which can be interconnected using
358:
In 2008, billion-transistor processors became commercially available. This became more commonplace as semiconductor fabrication advanced from the then-current generation of
259:
speed. If the components were large, the wires interconnecting them must be long. The electric signals took time to go through the circuit, thus slowing the computer.
398:. An example is partitioning the layout of an adder into a row of equal bit slices cells. In complex designs this structuring may be achieved by hierarchical nesting. 67: 583: 1139: 460:
concentrations and etched wires is becoming more difficult and prone to errors due to variation. Designers now must simulate across multiple fabrication
807: 641: 578: 160: 2147: 563: 445:, microprocessor designers have encountered several challenges which force them to think beyond the design plane, and look ahead to post-silicon: 374:
the transistors, enabling higher levels of complexity in the resulting logic functionality. Certain high-performance logic blocks, like the SRAM (
1856: 1828: 406: 1881: 1053: 1034: 1015: 913: 861: 713: 1732: 114: 877: 86: 1886: 1158: 263: 1112: 1391: 38: 2038: 1866: 1396: 1091: 817: 535: 133: 93: 842:"The Law that Guides the Development of Photolithography Technology and the Methodology in the Design of Photolithographic Process" 2126: 1220: 1514: 1767: 525:
sizes go up (due to lower manufacturing costs), the number of dies per wafer increases, and the complexity of making suitable
100: 1805: 1424: 1132: 71: 1947: 1924: 1654: 1644: 414: 82: 2152: 2028: 1616: 1524: 1429: 1205: 1190: 480: 363: 349:
At one time, there was an effort to name and calibrate various levels of large-scale integration above VLSI. Terms like
2116: 1851: 1349: 464:
before a chip is certified ready for production, or use system-level techniques for dealing with effects of variation.
375: 342:(LSI), i.e. systems with at least a thousand logic gates. Current technology has moved far past this mark and today's 275:
led to small-scale integration (SSI) in the early 1960s, and then medium-scale integration (MSI) in the late 1960s.
2088: 1737: 401:
Structured VLSI design had been popular in the early 1980s, but lost its popularity later because of the advent of
60: 2106: 2033: 2008: 1871: 1519: 1125: 475: 371: 239: 243:
Scientists who had worked on radar returned to solid-state device development. With the invention of the first
1957: 1790: 1376: 1245: 588: 518: 289: 222: 645: 2018: 1952: 1843: 1659: 1319: 740: 735: 593: 195: 190:
Before the introduction of VLSI technology, most ICs had a limited set of functions they could perform. An
2083: 1914: 1795: 1562: 1552: 1547: 426: 284: 168: 107: 2053: 2023: 2013: 1909: 1823: 1699: 1639: 1606: 1596: 1479: 1444: 1434: 1371: 1240: 1215: 1210: 1175: 573: 418: 395: 667: 700:, Undergraduate Topics in Computer Science, Cham: Springer International Publishing, pp. 93–100, 1813: 1785: 1757: 1752: 1581: 1557: 1509: 1492: 1487: 1469: 1459: 1454: 1416: 1366: 1361: 1278: 1224: 964: 764: 500: 471: 203: 1004: 2078: 2003: 1919: 1904: 1669: 1449: 1406: 1401: 1298: 1288: 1260: 568: 522: 511:
can be obtained even with lower clock frequency by using the computational power of all the cores.
2043: 1942: 1818: 1775: 1684: 1626: 1611: 1601: 1386: 1185: 788: 499:
between these high frequency clocks across the entire chip. This has led to a rising interest in
351: 338: 332: 326: 296: 252: 191: 176: 156: 2063: 1993: 1972: 1934: 1742: 1709: 1689: 1381: 1293: 1167: 1087: 1059: 1049: 1030: 1011: 980: 909: 857: 813: 780: 709: 2157: 1896: 1780: 1747: 1542: 1464: 1353: 1339: 1334: 1283: 1270: 1195: 1148: 1003: 972: 968: 849: 772: 701: 598: 550: 453: 211: 199: 17: 171:(Metal Oxide Semiconductor) chips were developed and then widely adopted, enabling complex 1967: 1861: 1833: 1727: 1679: 1664: 1649: 1504: 1499: 1439: 1329: 1303: 1255: 1200: 539: 492: 461: 422: 421:
coined the term "structured VLSI design" (originally as "structured LSI design"), echoing
402: 367: 31: 508: 495:
tend to scale up, designers are finding it more difficult to distribute and maintain low
768: 2073: 1977: 1876: 1722: 1694: 504: 487: 442: 410: 343: 330:(SSI), improvements in technique led to devices with hundreds of logic gates, known as 180: 164: 776: 2141: 1962: 1250: 1080: 172: 853: 456:
techniques get closer to the fundamental laws of optics, achieving high accuracy in
2058: 1717: 543: 359: 271: 1107: 2048: 1674: 1586: 1075: 1071: 840:
Wu, Qiang; Li, Yanli; Yang, Yushu; Chen, Shoumian; Zhao, Yuhang (26 June 2020).
705: 391: 387: 184: 49: 841: 620: 27:
Creating an integrated circuit by combining many transistors into a single chip
2068: 1998: 1591: 1324: 1180: 976: 693: 496: 321: 309: 267: 244: 207: 984: 784: 1573: 1534: 1063: 902:
Wang, Laung-Terng; Chang, Yao-Wen; Cheng, Kwang-Ting (Tim) (February 2009).
878:"Exploring the Challenges of VLSI Design: Navigating Complexity for Success" 526: 317: 248: 1634: 1108:
Lectures on Design and Implementation of VLSI Systems at Brown University
903: 694:"The Invention of the Integrated Circuit and the Birth of Silicon Valley" 530: 313: 792: 362:
processors. Current designs, unlike the earliest devices, use extensive
636: 634: 755:
Hittinger, William C. (1973). "Metal-Oxide-Semiconductor Technology".
457: 378:) cell, are still designed by hand to ensure the highest efficiency. 293: 1027:
CMOS VLSI Design: A Circuits and Systems Perspective, Fourth Edition
846:
2020 China Semiconductor Technology International Conference (CSTIC)
698:
Introduction to the History of Computing: A Computing History Primer
346:
have many millions of gates and billions of individual transistors.
1117: 217: 929: 305: 216: 1121: 905:
Electronic Design Automation: Synthesis, Verification, and Test
668:"BBC - History - Historic Figures: Kilby and Noyce (1923-2005)" 251:
in 1947, the field of electronics shifted from vacuum tubes to
386:
Structured VLSI design is a modular methodology originated by
43: 1006:
CMOS: Circuit Design, Layout, and Simulation, Third Edition
908:. San Francisco, CA, USA: Morgan Kaufmann Publishers Inc. 953:"Retrospective on VLSI value scaling and lithography" 1986: 1933: 1895: 1842: 1804: 1766: 1708: 1625: 1571: 1533: 1478: 1415: 1348: 1312: 1269: 1233: 1166: 809:
Digital Electronics - A Modern Approach by B K Jain
470:– Due to lithography and etch issues with scaling, 74:. Unsourced material may be challenged and removed. 30:"VLSI" redirects here. For the former company, see 1079: 1025:Weste, Neil H. E. & Harris, David M. (2010). 957:Journal of Micro/Nanolithography, MEMS, and MOEMS 324:on a single device. Now known retrospectively as 167:onto a single chip. VLSI began in the 1970s when 409:, which is tolerated because of the progress of 210:. VLSI enables IC designers to add all of these 1029:. Boston: Pearson/Addison-Wesley. p. 840. 692:O’Regan, Gerard (2016), O'Regan, Gerard (ed.), 429:approach by procedure nesting to avoid chaotic 441:As microprocessors become more complex due to 320:, making it possible to fabricate one or more 1157:Note: This template roughly follows the 2012 1133: 483:(EDA) tools to automate their design process. 8: 1048:. Boca Raton, FL: CRC/Taylor & Francis. 1140: 1126: 1118: 736:"1964: First Commercial MOS IC Introduced" 134:Learn how and when to remove this message 579:List of semiconductor fabrication plants 951:Rieger, Michael L. (26 November 2019). 642:"The History of the Integrated Circuit" 611: 584:Mead–Conway VLSI chip design revolution 564:Application-specific integrated circuit 1857:Knowledge representation and reasoning 1882:Philosophy of artificial intelligence 7: 1201:Energy consumption (Green computing) 619:Zorpette, Glenn (20 November 2022). 534:including design for manufacturing ( 72:adding citations to reliable sources 1887:Distributed artificial intelligence 1159:ACM Computing Classification System 521:sizes shrink (due to scaling), and 336:(MSI). Further improvements led to 264:invention of the integrated circuit 1392:Integrated development environment 812:. Global Vision Publishing House. 39:Very High Speed Integrated Circuit 25: 1867:Automated planning and scheduling 1397:Software configuration management 777:10.1038/scientificamerican0873-48 621:"How the First Transistor Worked" 2121: 2111: 2102: 2101: 644:. Nobelprize.org. Archived from 292:introduced the first commercial 155:) is the process of creating an 48: 2112: 1515:Computational complexity theory 854:10.1109/CSTIC49141.2020.9282436 405:tools wasting a lot of area by 59:needs additional citations for 2148:Telecommunications engineering 1299:Network performance evaluation 159:(IC) by combining millions or 83:"Very-large-scale integration" 1: 1670:Multimedia information system 1655:Geographic information system 1645:Enterprise information system 1234:Computer systems organization 415:hardware description language 352:ultra-large-scale integration 2029:Computational social science 1617:Theoretical computer science 1430:Software development process 1206:Electronic design automation 1191:Very Large Scale Integration 1082:Introduction to VLSI systems 1010:. Wiley-IEEE. p. 1174. 481:electronic design automation 149:Very-large-scale integration 18:Very-Large-Scale Integration 1852:Natural language processing 1640:Information storage systems 806:Jain, B. K. (August 2009). 706:10.1007/978-3-319-33138-6_7 376:static random-access memory 2174: 1768:Human–computer interaction 1738:Intrusion detection system 1650:Social information systems 1635:Database management system 1086:. Boston: Addison-Wesley. 282: 221:A VLSI integrated-circuit 36: 29: 2097: 2034:Computational engineering 2009:Computational mathematics 1155: 977:10.1117/1.JMM.18.4.040902 240:history of the transistor 2044:Computational healthcare 2039:Differentiable computing 1958:Graphics processing unit 1377:Domain-specific language 1246:Computational complexity 1002:Baker, R. Jacob (2010). 589:Neuromorphic engineering 507:architectures, since an 333:medium-scale integration 290:General Microelectronics 37:Not to be confused with 2019:Computational chemistry 1953:Photograph manipulation 1844:Artificial intelligence 1660:Decision support system 969:2019JMM&M..18d0902R 741:Computer History Museum 594:Polycrystalline silicon 417:KARL in the mid-1970s, 413:. When introducing the 339:large-scale integration 327:small-scale integration 2084:Educational technology 1915:Reinforcement learning 1665:Process control system 1563:Computational geometry 1553:Algorithmic efficiency 1548:Analysis of algorithms 1196:Systems on Chip (SoCs) 1113:Design of VLSI Systems 1044:Chen, Wai-Kai (2007). 848:. IEEE. pp. 1–6. 427:structured programming 285:MOS integrated circuit 225: 169:MOS integrated circuit 2054:Electronic publishing 2024:Computational biology 2014:Computational physics 1910:Unsupervised learning 1824:Distributed computing 1700:Information retrieval 1607:Mathematical analysis 1597:Mathematical software 1480:Theory of computation 1445:Software construction 1435:Requirements analysis 1313:Software organization 1241:Computer architecture 1211:Hardware acceleration 1176:Printed circuit board 574:Interface logic model 488:Timing/design closure 468:Stricter design rules 403:placement and routing 220: 1814:Concurrent computing 1786:Ubiquitous computing 1758:Application security 1753:Information security 1582:Discrete mathematics 1558:Randomized algorithm 1510:Computability theory 1488:Model of computation 1460:Software maintenance 1455:Software engineering 1417:Software development 1367:Programming language 1362:Programming paradigm 1279:Network architecture 538:), design for test ( 529:goes up rapidly. A 472:design rule checking 431:spaghetti-structured 68:improve this article 2153:Integrated circuits 2089:Document management 2079:Operations research 2004:Enterprise software 1920:Multi-task learning 1905:Supervised learning 1627:Information systems 1450:Software deployment 1407:Software repository 1261:Real-time computing 930:"Clock Skew in STA" 769:1973SciAm.229b..48H 757:Scientific American 569:Caltech Cosmic Cube 253:solid-state devices 194:might consist of a 1872:Search methodology 1819:Parallel computing 1776:Interaction design 1685:Computing platform 1612:Numerical analysis 1602:Information theory 1387:Software framework 1350:Software notations 1289:Network components 1186:Integrated circuit 515:First-pass success 443:technology scaling 419:Reiner Hartenstein 396:wiring by abutment 297:integrated circuit 226: 192:electronic circuit 187:are VLSI devices. 179:technologies. The 177:telecommunications 157:integrated circuit 2135: 2134: 2064:Electronic voting 1994:Quantum Computing 1987:Applied computing 1973:Image compression 1743:Hardware security 1733:Security services 1690:Digital marketing 1470:Open-source model 1382:Modeling language 1294:Network scheduler 1055:978-1-4200-0596-7 1046:The VLSI handbook 1036:978-0-321-54774-3 1017:978-0-470-88132-3 915:978-0-08-092200-3 863:978-1-7281-6558-5 715:978-3-319-33138-6 493:clock frequencies 450:Process variation 382:Structured design 364:design automation 144: 143: 136: 118: 16:(Redirected from 2165: 2125: 2124: 2115: 2114: 2105: 2104: 1925:Cross-validation 1897:Machine learning 1781:Social computing 1748:Network security 1543:Algorithm design 1465:Programming team 1425:Control variable 1402:Software library 1340:Software quality 1335:Operating system 1284:Network protocol 1149:Computer science 1142: 1135: 1128: 1119: 1097: 1085: 1067: 1040: 1021: 1009: 989: 988: 948: 942: 941: 939: 937: 926: 920: 919: 899: 893: 892: 890: 888: 874: 868: 867: 837: 831: 830: 828: 826: 803: 797: 796: 752: 746: 745: 732: 726: 725: 724: 722: 689: 683: 682: 680: 678: 664: 658: 657: 655: 653: 638: 629: 628: 616: 599:System on a chip 551:Electromigration 454:photolithography 139: 132: 128: 125: 119: 117: 76: 52: 44: 21: 2173: 2172: 2168: 2167: 2166: 2164: 2163: 2162: 2138: 2137: 2136: 2131: 2122: 2093: 2074:Word processing 1982: 1968:Virtual reality 1929: 1891: 1862:Computer vision 1838: 1834:Multiprocessing 1800: 1762: 1728:Security hacker 1704: 1680:Digital library 1621: 1572:Mathematics of 1567: 1529: 1505:Automata theory 1500:Formal language 1474: 1440:Software design 1411: 1344: 1330:Virtual machine 1308: 1304:Network service 1265: 1256:Embedded system 1229: 1162: 1151: 1146: 1104: 1094: 1072:Mead, Carver A. 1070: 1056: 1043: 1037: 1024: 1018: 1001: 998: 996:Further reading 993: 992: 950: 949: 945: 935: 933: 928: 927: 923: 916: 901: 900: 896: 886: 884: 876: 875: 871: 864: 839: 838: 834: 824: 822: 820: 805: 804: 800: 754: 753: 749: 734: 733: 729: 720: 718: 716: 691: 690: 686: 676: 674: 666: 665: 661: 651: 649: 648:on 29 June 2018 640: 639: 632: 618: 617: 613: 608: 560: 509:overall speedup 462:process corners 439: 423:Edsger Dijkstra 384: 368:logic synthesis 344:microprocessors 287: 281: 236: 231: 165:MOS transistors 140: 129: 123: 120: 77: 75: 65: 53: 42: 35: 32:VLSI Technology 28: 23: 22: 15: 12: 11: 5: 2171: 2169: 2161: 2160: 2155: 2150: 2140: 2139: 2133: 2132: 2130: 2129: 2119: 2109: 2098: 2095: 2094: 2092: 2091: 2086: 2081: 2076: 2071: 2066: 2061: 2056: 2051: 2046: 2041: 2036: 2031: 2026: 2021: 2016: 2011: 2006: 2001: 1996: 1990: 1988: 1984: 1983: 1981: 1980: 1978:Solid modeling 1975: 1970: 1965: 1960: 1955: 1950: 1945: 1939: 1937: 1931: 1930: 1928: 1927: 1922: 1917: 1912: 1907: 1901: 1899: 1893: 1892: 1890: 1889: 1884: 1879: 1877:Control method 1874: 1869: 1864: 1859: 1854: 1848: 1846: 1840: 1839: 1837: 1836: 1831: 1829:Multithreading 1826: 1821: 1816: 1810: 1808: 1802: 1801: 1799: 1798: 1793: 1788: 1783: 1778: 1772: 1770: 1764: 1763: 1761: 1760: 1755: 1750: 1745: 1740: 1735: 1730: 1725: 1723:Formal methods 1720: 1714: 1712: 1706: 1705: 1703: 1702: 1697: 1695:World Wide Web 1692: 1687: 1682: 1677: 1672: 1667: 1662: 1657: 1652: 1647: 1642: 1637: 1631: 1629: 1623: 1622: 1620: 1619: 1614: 1609: 1604: 1599: 1594: 1589: 1584: 1578: 1576: 1569: 1568: 1566: 1565: 1560: 1555: 1550: 1545: 1539: 1537: 1531: 1530: 1528: 1527: 1522: 1517: 1512: 1507: 1502: 1497: 1496: 1495: 1484: 1482: 1476: 1475: 1473: 1472: 1467: 1462: 1457: 1452: 1447: 1442: 1437: 1432: 1427: 1421: 1419: 1413: 1412: 1410: 1409: 1404: 1399: 1394: 1389: 1384: 1379: 1374: 1369: 1364: 1358: 1356: 1346: 1345: 1343: 1342: 1337: 1332: 1327: 1322: 1316: 1314: 1310: 1309: 1307: 1306: 1301: 1296: 1291: 1286: 1281: 1275: 1273: 1267: 1266: 1264: 1263: 1258: 1253: 1248: 1243: 1237: 1235: 1231: 1230: 1228: 1227: 1218: 1213: 1208: 1203: 1198: 1193: 1188: 1183: 1178: 1172: 1170: 1164: 1163: 1156: 1153: 1152: 1147: 1145: 1144: 1137: 1130: 1122: 1116: 1115: 1110: 1103: 1102:External links 1100: 1099: 1098: 1092: 1068: 1054: 1041: 1035: 1022: 1016: 997: 994: 991: 990: 943: 932:. 23 June 2024 921: 914: 894: 869: 862: 832: 818: 798: 747: 727: 714: 684: 659: 630: 610: 609: 607: 604: 603: 602: 596: 591: 586: 581: 576: 571: 566: 559: 556: 555: 554: 547: 512: 505:multiprocessor 484: 465: 438: 435: 383: 380: 366:and automated 280: 277: 235: 232: 230: 227: 181:microprocessor 142: 141: 124:September 2010 56: 54: 47: 26: 24: 14: 13: 10: 9: 6: 4: 3: 2: 2170: 2159: 2156: 2154: 2151: 2149: 2146: 2145: 2143: 2128: 2120: 2118: 2110: 2108: 2100: 2099: 2096: 2090: 2087: 2085: 2082: 2080: 2077: 2075: 2072: 2070: 2067: 2065: 2062: 2060: 2057: 2055: 2052: 2050: 2047: 2045: 2042: 2040: 2037: 2035: 2032: 2030: 2027: 2025: 2022: 2020: 2017: 2015: 2012: 2010: 2007: 2005: 2002: 2000: 1997: 1995: 1992: 1991: 1989: 1985: 1979: 1976: 1974: 1971: 1969: 1966: 1964: 1963:Mixed reality 1961: 1959: 1956: 1954: 1951: 1949: 1946: 1944: 1941: 1940: 1938: 1936: 1932: 1926: 1923: 1921: 1918: 1916: 1913: 1911: 1908: 1906: 1903: 1902: 1900: 1898: 1894: 1888: 1885: 1883: 1880: 1878: 1875: 1873: 1870: 1868: 1865: 1863: 1860: 1858: 1855: 1853: 1850: 1849: 1847: 1845: 1841: 1835: 1832: 1830: 1827: 1825: 1822: 1820: 1817: 1815: 1812: 1811: 1809: 1807: 1803: 1797: 1796:Accessibility 1794: 1792: 1791:Visualization 1789: 1787: 1784: 1782: 1779: 1777: 1774: 1773: 1771: 1769: 1765: 1759: 1756: 1754: 1751: 1749: 1746: 1744: 1741: 1739: 1736: 1734: 1731: 1729: 1726: 1724: 1721: 1719: 1716: 1715: 1713: 1711: 1707: 1701: 1698: 1696: 1693: 1691: 1688: 1686: 1683: 1681: 1678: 1676: 1673: 1671: 1668: 1666: 1663: 1661: 1658: 1656: 1653: 1651: 1648: 1646: 1643: 1641: 1638: 1636: 1633: 1632: 1630: 1628: 1624: 1618: 1615: 1613: 1610: 1608: 1605: 1603: 1600: 1598: 1595: 1593: 1590: 1588: 1585: 1583: 1580: 1579: 1577: 1575: 1570: 1564: 1561: 1559: 1556: 1554: 1551: 1549: 1546: 1544: 1541: 1540: 1538: 1536: 1532: 1526: 1523: 1521: 1518: 1516: 1513: 1511: 1508: 1506: 1503: 1501: 1498: 1494: 1491: 1490: 1489: 1486: 1485: 1483: 1481: 1477: 1471: 1468: 1466: 1463: 1461: 1458: 1456: 1453: 1451: 1448: 1446: 1443: 1441: 1438: 1436: 1433: 1431: 1428: 1426: 1423: 1422: 1420: 1418: 1414: 1408: 1405: 1403: 1400: 1398: 1395: 1393: 1390: 1388: 1385: 1383: 1380: 1378: 1375: 1373: 1370: 1368: 1365: 1363: 1360: 1359: 1357: 1355: 1351: 1347: 1341: 1338: 1336: 1333: 1331: 1328: 1326: 1323: 1321: 1318: 1317: 1315: 1311: 1305: 1302: 1300: 1297: 1295: 1292: 1290: 1287: 1285: 1282: 1280: 1277: 1276: 1274: 1272: 1268: 1262: 1259: 1257: 1254: 1252: 1251:Dependability 1249: 1247: 1244: 1242: 1239: 1238: 1236: 1232: 1226: 1222: 1219: 1217: 1214: 1212: 1209: 1207: 1204: 1202: 1199: 1197: 1194: 1192: 1189: 1187: 1184: 1182: 1179: 1177: 1174: 1173: 1171: 1169: 1165: 1160: 1154: 1150: 1143: 1138: 1136: 1131: 1129: 1124: 1123: 1120: 1114: 1111: 1109: 1106: 1105: 1101: 1095: 1093:0-201-04358-0 1089: 1084: 1083: 1077: 1073: 1069: 1065: 1061: 1057: 1051: 1047: 1042: 1038: 1032: 1028: 1023: 1019: 1013: 1008: 1007: 1000: 999: 995: 986: 982: 978: 974: 970: 966: 963:(4): 040902. 962: 958: 954: 947: 944: 931: 925: 922: 917: 911: 907: 906: 898: 895: 883: 879: 873: 870: 865: 859: 855: 851: 847: 843: 836: 833: 821: 819:9788182202153 815: 811: 810: 802: 799: 794: 790: 786: 782: 778: 774: 770: 766: 762: 758: 751: 748: 743: 742: 737: 731: 728: 717: 711: 707: 703: 699: 695: 688: 685: 673: 672:www.bbc.co.uk 669: 663: 660: 647: 643: 637: 635: 631: 626: 625:IEEE Spectrum 622: 615: 612: 605: 600: 597: 595: 592: 590: 587: 585: 582: 580: 577: 575: 572: 570: 567: 565: 562: 561: 557: 553: 552: 548: 545: 541: 537: 532: 528: 524: 520: 516: 513: 510: 506: 502: 498: 494: 490: 489: 485: 482: 477: 473: 469: 466: 463: 459: 455: 451: 448: 447: 446: 444: 436: 434: 432: 428: 424: 420: 416: 412: 408: 404: 399: 397: 393: 389: 381: 379: 377: 373: 369: 365: 361: 356: 354: 353: 347: 345: 341: 340: 335: 334: 329: 328: 323: 319: 315: 311: 307: 301: 298: 295: 291: 286: 278: 276: 273: 269: 265: 260: 256: 254: 250: 246: 241: 233: 228: 224: 219: 215: 213: 212:into one chip 209: 205: 201: 197: 193: 188: 186: 182: 178: 174: 173:semiconductor 170: 166: 162: 158: 154: 150: 146: 138: 135: 127: 116: 113: 109: 106: 102: 99: 95: 92: 88: 85: â€“  84: 80: 79:Find sources: 73: 69: 63: 62: 57:This article 55: 51: 46: 45: 40: 33: 19: 2059:Cyberwarfare 1718:Cryptography 1081: 1076:Conway, Lynn 1045: 1026: 1005: 960: 956: 946: 934:. Retrieved 924: 904: 897: 885:. Retrieved 881: 872: 845: 835: 823:. Retrieved 808: 801: 763:(2): 48–59. 760: 756: 750: 739: 730: 719:, retrieved 697: 687: 675:. Retrieved 671: 662: 650:. Retrieved 646:the original 624: 614: 549: 544:Design for X 514: 486: 467: 449: 440: 437:Difficulties 430: 400: 385: 357: 350: 348: 337: 331: 325: 302: 288: 272:Robert Noyce 261: 257: 237: 189: 185:memory chips 152: 148: 147: 145: 130: 121: 111: 104: 97: 90: 78: 66:Please help 61:verification 58: 2069:Video games 2049:Digital art 1806:Concurrency 1675:Data mining 1587:Probability 1320:Interpreter 882:InSemi Tech 411:Moore's Law 392:Lynn Conway 388:Carver Mead 322:logic gates 310:transistors 300:billions). 2142:Categories 2127:Glossaries 1999:E-commerce 1592:Statistics 1535:Algorithms 1493:Stochastic 1325:Middleware 1181:Peripheral 606:References 527:photomasks 497:clock skew 433:programs. 360:65 nm 318:capacitors 283:See also: 268:Jack Kilby 245:transistor 234:Background 208:glue logic 206:and other 94:newspapers 1948:Rendering 1943:Animation 1574:computing 1525:Semantics 1216:Processor 985:1932-5150 936:10 August 887:10 August 785:0036-8733 721:10 August 677:10 August 501:multicore 314:resistors 249:Bell Labs 2107:Category 1935:Graphics 1710:Security 1372:Compiler 1271:Networks 1168:Hardware 1078:(1980). 1064:83977431 793:24923169 652:21 April 558:See also 531:mask set 161:billions 2158:MOSFETs 2117:Outline 965:Bibcode 765:Bibcode 542:), and 407:routing 372:lay out 229:History 108:scholar 1090:  1062:  1052:  1033:  1014:  983:  912:  860:  816:  791:  783:  712:  476:layout 458:doping 306:diodes 110:  103:  96:  89:  81:  1520:Logic 1354:tools 825:2 May 789:JSTOR 601:(SoC) 523:wafer 517:– As 491:– As 452:– As 115:JSTOR 101:books 1352:and 1225:Form 1221:Size 1088:ISBN 1060:OCLC 1050:ISBN 1031:ISBN 1012:ISBN 981:ISSN 938:2024 910:ISBN 889:2024 858:ISBN 827:2017 814:ISBN 781:ISSN 723:2024 710:ISBN 679:2024 654:2012 503:and 474:for 390:and 316:and 279:VLSI 270:and 262:The 238:The 183:and 175:and 153:VLSI 87:news 973:doi 850:doi 773:doi 761:229 702:doi 540:DFT 536:DFM 519:die 425:'s 370:to 294:MOS 266:by 247:at 223:die 204:RAM 200:ROM 196:CPU 163:of 70:by 2144:: 1223:/ 1074:; 1058:. 979:. 971:. 961:18 959:. 955:. 880:. 856:. 844:. 787:. 779:. 771:. 759:. 738:. 708:, 696:, 670:. 633:^ 623:. 312:, 308:, 255:. 214:. 202:, 198:, 1161:. 1141:e 1134:t 1127:v 1096:. 1066:. 1039:. 1020:. 987:. 975:: 967:: 940:. 918:. 891:. 866:. 852:: 829:. 795:. 775:: 767:: 744:. 704:: 681:. 656:. 627:. 546:. 151:( 137:) 131:( 126:) 122:( 112:· 105:· 98:· 91:· 64:. 41:. 34:. 20:)

Index

Very-Large-Scale Integration
VLSI Technology
Very High Speed Integrated Circuit

verification
improve this article
adding citations to reliable sources
"Very-large-scale integration"
news
newspapers
books
scholar
JSTOR
Learn how and when to remove this message
integrated circuit
billions
MOS transistors
MOS integrated circuit
semiconductor
telecommunications
microprocessor
memory chips
electronic circuit
CPU
ROM
RAM
glue logic
into one chip

die

Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.

↑