Knowledge (XXG)

Electron-beam lithography

Source đź“ť

931:, the embedded electrons will take a much longer time to move to ground. Often the negative charge acquired by a substrate can be compensated or even exceeded by a positive charge on the surface due to secondary electron emission into the vacuum. The presence of a thin conducting layer above or below the resist is generally of limited use for high energy (50 keV or more) electron beams, since most electrons pass through the layer into the substrate. The charge dissipation layer is generally useful only around or below 10 keV, since the resist is thinner and most of the electrons either stop in the resist or close to the conducting layer. However, they are of limited use due to their high sheet resistance, which can lead to ineffective grounding. 889:, whereby electrons from exposure of an adjacent region spill over into the exposure of the currently written feature, effectively enlarging its image, and reducing its contrast, i.e., difference between maximum and minimum intensity. Hence, nested feature resolution is harder to control. For most resists, it is difficult to go below 25 nm lines and spaces, and a limit of 20 nm lines and spaces has been found. In actuality, though, the range of secondary electron scattering is quite far, sometimes exceeding 100 nm, but becoming very significant below 30 nm. 952:
scission in the polymer for positive tone exposure. In the case of PMMA, exposure of electrons at up to more than 1000 μC/cm, the gradation curve corresponds to the curve of a “normal” positive process. Above 2000 μC/cm, the recombinant crosslinking process prevails, and at about 7000 μC/cm the layer is completely crosslinked which makes the layer more insoluble than the unexposed initial layer. If negative PMMA structures should be used, a stronger developer than for the positive process is required. Such large dose increases may be required to avoid shot noise effects.
153: 815:. This point was driven home by a 2007 demonstration of double patterning using electron beam lithography in the fabrication of 15 nm half-pitch zone plates. Although a 15 nm feature was resolved, a 30 nm pitch was still difficult to do due to secondary electrons scattering from the adjacent feature. The use of double patterning allowed the spacing between features to be wide enough for the secondary electron scattering to be significantly reduced. 999: 461: 785: 317:
pattern area (≤mm for electron beam vs. ≥40 mm for an optical mask projection scanner). The stage moves in between field scans. The electron beam field is small enough that a rastering or serpentine stage motion is needed to pattern a 26 mm X 33 mm area for example, whereas in a photolithography scanner only a one-dimensional motion of a 26 mm X 2 mm slit field would be required.
2446: 20: 776:
high energies, but approaches a maximum limiting value at zero energy. On the other hand, it is already known that the mean free path at the lowest energies (few to several eV or less, where dissociative attachment is significant) is well over 10 nm, thus limiting the ability to consistently achieve resolution at this scale.
1014:. However, this is a very inefficient process, due to the inefficient transfer of momentum from the electron beam to the material. As a result, it is a slow process, requiring much longer exposure times than conventional electron beam lithography. Also high energy beams always bring up the concern of substrate damage. 994:
as the electron beam source. The data suggest that electrons with energies as low as 12 eV can penetrate 50 nm thick polymer resist. The drawback to using low energy electrons is that it is hard to prevent spreading of the electron beam in the resist. Low energy electron optical systems are
850:
A study by the College of Nanoscale Science and Engineering (CNSE) presented at the 2013 EUVL Workshop indicated that, as a measure of electron blur, 50–100 eV electrons easily penetrated beyond 10 nm of resist thickness in PMMA or a commercial resist. Furthermore dielectric breakdown discharge
775:
This reaction, also known as "electron attachment" or "dissociative electron attachment" is most likely to occur after the electron has essentially slowed to a halt, since it is easiest to capture at that point. The cross-section for electron attachment is inversely proportional to electron energy at
926:
Since electrons are charged particles, they tend to charge the substrate negatively unless they can quickly gain access to a path to ground. For a high-energy beam incident on a silicon wafer, virtually all the electrons stop in the wafer where they can follow a path to ground. However, for a quartz
163:
Typically, for very small beam deflections, electrostatic deflection "lenses" are used; larger beam deflections require electromagnetic scanning. Because of the inaccuracy and because of the finite number of steps in the exposure grid, the writing field is of the order of 100 micrometre – 1 mm.
862:
In addition to producing secondary electrons, primary electrons from the incident beam with sufficient energy to penetrate the resist can be multiply scattered over large distances from underlying films and/or the substrate. This leads to exposure of areas at a significant distance from the desired
316:
E-beam lithography is not suitable for high-volume manufacturing because of its limited throughput. The smaller field of electron beam writing makes for very slow pattern generation compared with photolithography (the current standard) because more exposure fields must be scanned to form the final
951:
Due to the scission efficiency generally being an order of magnitude higher than the crosslinking efficiency, most polymers used for positive-tone electron-beam lithography will also crosslink (and therefore become negative tone) at doses an order of magnitude higher than the doses used to cause
336:
effects become predominant, leading to substantial natural dose variation within a large feature population. With each successive process node, as the feature area is halved, the minimum dose must double to maintain the same noise level. Consequently, the tool throughput would be halved with each
143:
Both electrostatic and magnetic lenses may be used. However, electrostatic lenses have more aberrations and so are not used for fine focusing. There is currently no mechanism to make achromatic electron beam lenses, so extremely narrow dispersions of the electron beam energy are needed for finest
846:
down to < 1 eV. This is necessary since the energy distribution of secondary electrons peaks well below 10 eV. Hence, the resolution limit is not usually cited as a well-fixed number as with an optical diffraction-limited system. Repeatability and control at the practical resolution
448:
Physical defects are more varied, and can include sample charging (either negative or positive), backscattering calculation errors, dose errors, fogging (long-range reflection of backscattered electrons), outgassing, contamination, beam drift and particles. Since the write time for electron beam
312:
during deflection), as well as time for other possible beam corrections and adjustments in the middle of writing. To cover the 700 cm surface area of a 300 mm silicon wafer, the minimum write time would extend to 7*10 seconds, about 22 years. This is a factor of about 10 million times
444:
occur in variable-shaped beam systems when the wrong shape is projected onto the sample. These errors can originate either from the electron optical control hardware or the input data that was taped out. As might be expected, larger data files are more susceptible to data-related defects.
98:
into an electron beam lithography system using relatively low cost accessories (< US$ 100K). Such converted systems have produced linewidths of ~20 nm since at least 1990, while current dedicated systems have produced linewidths on the order of 10 nm or smaller.
134:
for lower energy spread and enhanced brightness. Thermal field emission sources are preferred over cold emission sources, in spite of the former's slightly larger beam size, because they offer better stability over typical writing times of several hours.
943:). Hence, resist-substrate charging is not repeatable and is difficult to compensate consistently. Negative charging deflects the electron beam away from the charged area while positive charging deflects the electron beam toward the charged area. 934:
The range of low-energy secondary electrons (the largest component of the free electron population in the resist-substrate system) which can contribute to charging is not a fixed number but can vary from 0 to as high as 50 nm (see section
428:
Despite the high resolution of electron-beam lithography, the generation of defects during electron-beam lithography is often not considered by users. Defects may be classified into two categories: data-related defects, and physical defects.
851:
is possible. More recent studies have indicated that 20 nm resist thickness could be penetrated by low energy electrons (of sufficient dose) and sub-20 nm half-pitch electron-beam lithography already required double patterning.
1193:
Sunaoshi, H.; Tachikawa, Y.; Higurashi, H.; Iijima, T.; Suzuki, J.; Kamikubo, T.; Ohtoshi, K.; Anze, H.; Katsumata, T.; Nakayamada, N.; Hara, S.; Tamamushi, S.; Ogawa, Y. (2006). "EBM-5000: electron-beam mask writer for 45-nm node".
985:
To get around the secondary electron generation, it will be imperative to use low-energy electrons as the primary radiation to expose resist. Ideally, these electrons should have energies on the order of not much more than several
1232:
Chen, Frederick; Chen, Wei-Su; Tsai, Ming-Jinn; Ku, Tzu-Kun (2013). "Sidewall profile inclination modulation mask (SPIMM): modification of an attenuated phase-shift mask for single-exposure double and multiple patterning".
415:
Shot noise is a significant consideration even for mask fabrication. For example, a commercial mask e-beam resist like FEP-171 would use doses less than 10 ÎĽC/cm, whereas this leads to noticeable shot noise for a target
308:, the resulting minimum write time would be 10 seconds (about 12 days). This minimum write time does not include time for the stage to move back and forth, as well as time for the beam to be blanked (blocked from the 1165:
Kempsell, M.L.; Hendrickx, E.; Tritchkov, A.; Sakajiri, K.; Yasui, K.; Yoshitake, S.; Granik, Y.; Vandenberghe, G.; Smith, B.W. (2009). "Inverse lithography for 45-nm-node contact holes at 1.35 numerical aperture".
1884:
Wieland, M.; de Boer, G.; ten Berge, G.; Jager, R.; van de Peut, T.; Peijster, J.; Slot, E.; Steenbrink, S.; Teepen, T.; van Veen, A.H.V.; Kampherbeek, B.J. (2009). "MAPPER: high-throughput maskless lithography".
1009:
Another alternative in electron-beam lithography is to use extremely high electron energies (at least 100 keV) to essentially "drill" or sputter the material. This phenomenon has been observed frequently in
969:(HSQ) is a negative tone resist that is capable of forming isolated 2-nm-wide lines and 10 nm periodic dot arrays (10 nm pitch) in very thin layers. HSQ itself is similar to porous, hydrogenated SiO 93:
Electron-beam lithography systems used in commercial applications are dedicated e-beam writing systems that are very expensive (> US$ 1M). For research applications, it is very common to convert an
962:
A 20 nm resolution had also been demonstrated using a 3 nm 100 keV electron beam and PMMA resist. 20 nm unexposed gaps between exposed lines showed inadvertent exposure by secondary
955:
A study performed at the Naval Research Laboratory indicated that low-energy (10–50 eV) electrons were able to damage ~30 nm thick PMMA films. The damage was manifest as a loss of material.
164:
Larger patterns require stage moves. An accurate stage is critical for stitching (tiling writing fields exactly against each other) and pattern overlay (aligning a pattern to a previously made one).
1785:
Yamazaki, Kenji; Kurihara, Kenji; Yamaguchi, Toru; Namatsu, Hideo; Nagase, Masao (1997). "Novel Proximity Effect Including Pattern-Dependent Resist Development in Electron Beam Nanolithography".
863:
exposure location. For thicker resists, as the primary electrons move forward, they have an increasing opportunity to scatter laterally from the beam-defined location. This scattering is called
1534:
Dapor, M.; et al. (2010). "Monte Carlo modeling in the low-energy domain of the secondary electron emission of polymethylmethacrylate for critical-dimension scanning electron microscopy".
1480: 1217:
Ugajin, K.; Saito, M.; Suenaga, M.; Higaki, T.; Nishino, H.; Watanabe, H.; Ikenaga, O. (2007). "1-nm of local CD accuracy for 45-nm-node photomask with low sensitivity CAR for e-beam writer".
1716:
Chandramouli, M.; Liu, B.; Alberti, Z.; Abboud, F.; Hochleitner, G.; Wroczewski, W.; Kuhn, S.; Klein, C.; Platzgummer, E. (2022). "Multibeam mask requirements for advanced EUV patterning".
2014:
Cumming, D. R. S.; Thoms, S.; Beaumont, S. P.; Weaver, J. M. R. (1996). "Fabrication of 3 nm wires using 100 keV electron beam lithography and poly(methyl methacrylate) resist".
1027:
Despite the various intricacies and subtleties of electron beam lithography at different energies, it remains the most practical way to concentrate the most energy into the smallest area.
313:
slower than current optical lithography tools. It is clear that throughput is a serious limitation for electron beam lithography, especially when writing dense patterns over a large area.
1828:
Renoud, R; Attard, C; Ganachaud, J-P; Bartholome, S; Dubus, A (1998). "Influence on the secondary electron yield of the space charge induced in an insulating target by an electron beam".
1267:
Ichimura, Koji; Yoshida, Koji; Cho, Hideki; Hikichi, Ryugo; Kurihara, Masaaki (2022). "Characteristics of fine feature hole templates for nanoimprint lithography toward 2nm and beyond".
615: 467:
An incident electron (red) produces secondary electrons (blue). Sometimes, the incident electron may itself be backscattered as shown here and leave the surface of the resist (amber).
102:
Electron-beam lithography systems can be classified according to both beam shape and beam deflection strategy. Older systems used Gaussian-shaped beams that scanned these beams in a
212: 877:
in optical projection systems. A large enough dose of backscattered electrons can lead to complete exposure of resist over an area much larger than defined by the beam spot.
1030:
There has been significant interest in the development of multiple electron beam approaches to lithography in order to increase throughput. This work has been supported by
676: 1908:
Chen, Frederick; Chen, Wei-Su; Tsai, Ming-Jinn; Ku, Tzu-Kun (2012). "Complementary polarity exposures for cost-effective line-cutting in multiple patterning lithography".
977:
In 2018, a thiol-ene resist was developed that features native reactive surface groups, which allows the direct functionalization of the resist surface with biomolecules.
523: 990:
in order to expose the resist without generating any secondary electrons, since they will not have sufficient excess energy. Such exposure has been demonstrated using a
959:
For the popular electron-beam resist ZEP-520, a pitch resolution limit of 60 nm (30 nm lines and spaces), independent of thickness and beam energy, was found.
1324: 449:
lithography can easily exceed a day, "randomly occurring" defects are more likely to occur. Here again, larger data files can present more opportunities for defects.
867:. Sometimes the primary electrons are scattered at angles exceeding 90 degrees, i.e., they no longer advance further into the resist. These electrons are called 711: 295: 275: 255: 235: 892:
The proximity effect is also manifest by secondary electrons leaving the top surface of the resist and then returning some tens of nanometers distance away.
886: 742:, one obtains by comparing cross-sections that half of the inelastic collisions of the incident electrons produce electrons with kinetic energy greater than 1391:
Seah, M. P.; Dench, W. A. (1979). "Quantitative electron spectroscopy of surfaces: A standard data base for electron inelastic mean free paths in solids".
1005:
A scanning probe can be used for low-energy electron beam lithography, offering sub-100 nm resolution, determined by the dose of low-energy electrons.
1655:
Denbeaux, G.; Torok, J.; Del Re, R.; Herbol, H.; Das, S.; Bocharova, I.; Paolucci, A.; Ocola, L.E.; Ventrice Jr., C.; Lifshin, E.; Brainard, R.L. (2013).
2392: 1508: 1020:
using electron beams is another possible path for patterning arrays with nanometer-scale periods. A key advantage of using electrons over photons in
475:
or collisions with other electrons. In such a collision the momentum transfer from the incident electron to an atomic electron can be expressed as
2547: 918:. However, it must be remembered that an error in the applied dose (e.g., from shot noise) would cause the proximity effect correction to fail. 2495: 1676: 1299: 2058: 1931:
Kruit, P.; Steenbrink, S.; Jager, R.; Wieland, M. (2004). "Optimum dose for shot noise limited CD uniformity in electron-beam lithography".
803:. However, the feature resolution limit is determined not by the beam size but by forward scattering (or effective beam broadening) in the 760:) at some distance away from the original collision. Additionally, they can generate additional, lower energy electrons, resulting in an 1255: 885:
The smallest features produced by electron-beam lithography have generally been isolated features, as nested features exacerbate the
1687: 1111:
Parker, N. W.; et al. (2000). Dobisz, Elizabeth A. (ed.). "High-throughput NGL electron-beam direct-write lithography system".
838:. Although the latter is basically an ionic lattice effect, polaron hopping can extend as far as 20 nm. The travel distance of 764:. Hence, it is important to recognize the significant contribution of secondary electrons to the spread of the energy deposition. 1011: 995:
also hard to design for high resolution. Coulomb inter-electron repulsion always becomes more severe for lower electron energy.
940: 332:
As features sizes shrink, the number of incident electrons at fixed dose also shrinks. As soon as the number reaches ~10000,
420:(CD) even on the order of ~200 nm on the mask. CD variation can be on the order of 15–20% for sub-20 nm features. 58:, is to create very small structures in the resist that can subsequently be transferred to the substrate material, often by 1316: 2385: 991: 50:
of the resist, enabling selective removal of either the exposed or non-exposed regions of the resist by immersing it in a
1082: 826:, low energy electrons can travel quite a far distance (several nm is possible). This is due to the fact that below the 795:
With today's electron optics, electron beam widths can routinely go down to a few nanometers. This is limited mainly by
1740: 1153: 847:
limit often require considerations not related to image formation, e.g., resist development and intermolecular forces.
106:
fashion. Newer systems use shaped beams that can be deflected to various positions in the writing field (also known as
65:
The primary advantage of electron-beam lithography is that it can draw custom patterns (direct-write) with sub-10 
1420:"Calculations of electron inelastic mean free paths. V. Data for 14 organic compounds over the 50–2000 eV range" 842:
is not a fundamentally derived physical value, but a statistical parameter often determined from many experiments or
2435: 1762:
Ivin, V (2002). "The inclusion of secondary electrons and Bremsstrahlung X-rays in an electron beam resist model".
59: 818:
The forward scattering can be decreased by using higher energy electrons or thinner resist, but the generation of
2485: 2420: 1038:, Mapper and IMS. IMS Nanofabrication has commercialized the multibeam-maskwriter and started a rollout in 2016. 823: 791:
The distance (r) traveled by a low energy electron affects the resolution and can be at least several nanometers.
536: 2378: 2334: 1047: 1017: 82: 1338:
Stoffels, E; Stoffels, W W; Kroesen, G M W (2001). "Plasma chemistry and surface processes of negative ions".
2004:, Proceedings of the 1st IEEE Intl. Conf. on Nano/Micro Engineered and Molecular Systems, pp. 391–394 (2006). 2460: 2430: 2425: 966: 843: 690: 127: 2552: 2500: 1355: 178: 1035: 912: 2125:
Shafagh, Reza; Vastesson, Alexander; Guo, Weijin; van der Wijngaart, Wouter; Haraldsson, Tommy (2018).
1481:"Secondary electron generation in electron-beam-irradiated solids:resolution limits to nanolithography" 1966:
Bermudez, V. M. (1999). "Low-energy electron-beam effects on poly(methyl methacrylate) resist films".
452:
Photomask defects largely originate during the electron beam lithography used for pattern definition.
2475: 2259: 2224: 2185: 2073: 2023: 1975: 1940: 1837: 1794: 1629: 1574: 1492: 1347: 1120: 1089:. SPIE Handbook of Microlithography, Micromachining and Microfabrication. Vol. 1. Archived from 1057: 1052: 827: 472: 324:
tool is much faster than an electron beam tool used at the same resolution for photomask patterning.
321: 123: 78: 70: 1360: 2505: 2465: 839: 819: 808: 750: 628: 309: 152: 119: 95: 1563:"Long-distance charge transport in duplex DNA: The phonon-assisted polaron-like hopping mechanism" 1419: 854:
As of 2022, a state-of-the-art electron multi-beam writer achieves about a 20 nm resolution.
478: 2480: 2154: 2107: 1853: 1810: 1373: 1136: 796: 761: 404: 1256:
The Significance of Point Spread Functions with Stochastic Behavior in Electron-Beam Lithography
784: 2470: 2302: 2146: 2099: 1602: 1295: 2490: 2415: 2294: 2267: 2232: 2193: 2138: 2089: 2081: 2054: 2031: 1983: 1948: 1913: 1890: 1845: 1802: 1767: 1721: 1637: 1592: 1582: 1543: 1500: 1461: 1431: 1400: 1365: 1272: 1238: 1199: 1175: 1128: 1062: 998: 417: 172:
The minimum time to expose a given area for a given dose is given by the following formula:
55: 2526: 2401: 2338: 2126: 896: 460: 2213:"Field emission characteristics of the scanning tunneling microscope for nanolithography" 159:
Stitching is a concern for critical features crossing a field boundary (red dotted line).
2263: 2228: 2189: 2077: 2027: 1979: 1944: 1841: 1798: 1633: 1578: 1496: 1351: 1124: 471:
The primary electrons in the incident beam lose energy upon entering a material through
2521: 1021: 869: 696: 533:
is the incident electron velocity. The energy transferred by the collision is given by
280: 260: 240: 220: 1849: 1771: 973:. It may be used to etch silicon but not silicon dioxide or other similar dielectrics. 2541: 1857: 1814: 1620:
H. Seiler (1983). "Secondary electron emission in the scanning electron microscope".
1597: 1562: 1465: 1377: 1369: 1140: 440:
occur when the electron beam is not deflected properly when it is supposed to, while
2158: 42:
to draw custom shapes on a surface covered with an electron-sensitive film called a
2111: 987: 800: 2127:"E-Beam Nanostructuring and Direct Click Biofunctionalization of Thiol–Ene Resist" 1452:
Broers, A. N.; et al. (1996). "Electron beam lithography—Resolution limits".
1315:
Mason, Nigel J; Dujardin, G; Gerber, G; Gianturco, F; Maerk, T.D. (January 2008).
2298: 908: 237:
is the time to expose the object (can be divided into exposure time/step size),
103: 2049:
Manfrinato, Vitor R.; Zhang, Lihua; Su, Dong; Duan, Huigao; Hobbs, Richard G.;
895:
Proximity effects (due to electron scattering) can be addressed by solving the
2050: 1700: 1090: 874: 333: 47: 1522:
SPIE Newsroom: Double exposure makes dense high-resolution diffractive optics
728:, so the result is essentially inversely proportional to the binding energy. 2142: 1741:"Resist Requirements and Limitations for Nanoscale Electron-Beam Patterning" 1587: 928: 74: 66: 2306: 2285:
Egerton, R. F.; et al. (2004). "Radiation damage in the TEM and SEM".
2150: 2103: 1606: 903:
that leads to a dose distribution as close as possible to the desired dose
2445: 2250:
Hordon, L.S.; et al. (1993). "Limits of low-energy electron optics".
1435: 1404: 19: 1806: 1504: 1031: 39: 2094: 2059:"Resolution limits of electron-beam lithography toward the atomic scale" 1657:"Measurement of the role of secondary electrons in EUV resist exposures" 1656: 1154:
Faster and lower cost for 65 nm and 45 nm photomask patterning
432:
Data-related defects may be classified further into two sub-categories.
1725: 1276: 1242: 835: 822:
is inevitable. It is now recognized that for insulating materials like
301: 51: 2085: 1952: 1917: 1894: 1547: 1203: 1179: 1132: 407:
of population is about 5 standard deviations away from the mean dose.
2271: 2236: 2197: 2035: 1987: 1641: 831: 812: 804: 305: 43: 2212: 2173: 2331: 126:. However, systems with higher-resolution requirements need to use 2370: 2362: 2174:"Electron-beam lithography with the scanning tunneling microscope" 997: 783: 459: 300:
For example, assuming an exposure area of 1 cm, a dose of 10
151: 18: 2374: 2319: 693:
for collision is inversely proportional to the incident energy
689:
and the incident energy, one obtains the result that the total
529:
is the distance of closest approach between the electrons, and
1196:
Photomask and Next-Generation Lithography Mask Technology XIII
73:
has high resolution but low throughput, limiting its usage to
1677:
Complexities of the Resolution Limits of Advanced Lithography
1219:
Photomask and Next-Generation Lithography Mask Technology XIV
16:
Lithographic technique that uses a scanning beam of electrons
1521: 2365:. IMS Nanofabrication(2011-12-07). Retrieved on 2019-02-28. 2353:. IMS Nanofabrication(2011-12-07). Retrieved on 2019-02-28. 2341:. Mapper Lithography (2010-01-18). Retrieved on 2011-08-27. 731:
By using the same integration approach, but over the range
2322:. Multibeamcorp.com (2011-03-04). Retrieved on 2011-08-27. 1702:
Electron Blur Impact on Electron Beam and EUV Lithography
346:
minimum dose for one-in-a-million 5% dose error (ÎĽC/cm)
2350: 1720:. SPIE Proceedings. Vol. 12293. pp. 122930O. 1271:. SPIE Proceedings. Vol. 12293. pp. 122930F. 1912:. SPIE Proceedings. Vol. 8326. pp. 83262L. 1889:. SPIE Proceedings. Vol. 7271. pp. 72710O. 699: 631: 539: 481: 283: 263: 243: 223: 181: 1237:. SPIE Proceedings. Vol. 8683. p. 868311. 1198:. SPIE Proceedings. Vol. 6283. p. 628306. 1024:
is the much shorter wavelength for the same energy.
807:, while the pitch resolution limit is determined by 2514: 2453: 2408: 1221:. SPIE Proceedings. Vol. 6607. pp. 90–97. 753:are capable of breaking bonds (with binding energy 1317:"EURONanochem – Chemical Control at the Nanoscale" 705: 670: 609: 517: 289: 269: 249: 229: 206: 1524:. Spie.org (2009-11-03). Retrieved on 2011-08-27. 1294:. Vol. 54. North-Holland. pp. 130–133. 1168:Journal of Micro/Nanolithography, MEMS, and MOEMS 830:the only energy loss mechanism is mainly through 122:sources (cathode), which are usually formed from 38:) is the practice of scanning a focused beam of 1418:Tanuma, S.; Powell, C. J.; Penn, D. R. (1994). 1292:Fundamentals of Surface and Thin Film Analysis 2386: 8: 1933:Journal of Vacuum Science & Technology B 23:An example of Electron beam lithograph setup 2393: 2379: 2371: 1968:Journal of Vacuum Science and Technology B 625:is the incident electron energy, given by 610:{\displaystyle T=(dp)^{2}/2m=e^{4}/Eb^{2}} 46:(exposing). The electron beam changes the 2093: 1664:International Workshop on EUV Lithography 1596: 1586: 1447: 1445: 1359: 1115:. Emerging Lithographic Technologies IV. 698: 662: 644: 630: 601: 589: 583: 565: 559: 538: 504: 498: 480: 282: 262: 242: 222: 203: 180: 2252:Journal of Vacuum Science and Technology 2217:Journal of Vacuum Science and Technology 2178:Journal of Vacuum Science and Technology 339: 1073: 873:and have the same effect as long-range 899:and calculating the exposure function 77:fabrication, low-volume production of 1887:Alternative Lithographic Technologies 1561:P. T. Henderson; et al. (1999). 1340:Plasma Sources Science and Technology 7: 1830:Journal of Physics: Condensed Matter 678:. By integrating over all values of 456:Electron energy deposition in matter 424:Defects in electron-beam lithography 1787:Japanese Journal of Applied Physics 682:between the lowest binding energy, 207:{\displaystyle D\cdot A=T\cdot I\,} 54:(developing). The purpose, as with 1739:J. A. Liddle; et al. (2003). 1081:McCord, M A.; Rooks, M.J. (2000). 936: 14: 2211:Mayer, T.M.; et al. (1996). 118:Lower-resolution systems can use 2444: 1327:from the original on 2011-07-20. 1012:transmission electron microscopy 947:Electron-beam resist performance 465:Electron trajectories in resist: 1034:and start-up companies such as 941:extreme ultraviolet lithography 911:by the scattering distribution 767:In general, for a molecule AB: 411:Ref.: SPIE Proc. 8683-36 (2013) 2548:Lithography (microfabrication) 1424:Surface and Interface Analysis 1393:Surface and Interface Analysis 1083:"2. Electron beam lithography" 789:Low energy electron migration. 652: 638: 556: 546: 304:/cm, and a beam current of 10 148:Stage, stitching and alignment 1: 1772:10.1016/S0167-9317(02)00531-2 1536:J. Micro/Nanolith. MEMS MOEMS 1323:. European Space Foundation. 1290:L. Feldman; J. Mayer (1986). 1235:Optical Microlithography XXVI 992:scanning tunneling microscope 671:{\displaystyle E=(1/2)mv^{2}} 130:sources, such as heated W/ZrO 2299:10.1016/j.micron.2004.02.003 1910:Optical Microlithography XXV 1567:Proc. Natl. Acad. Sci. U.S.A 1466:10.1016/0167-9317(95)00368-1 518:{\displaystyle dp=2e^{2}/bv} 1850:10.1088/0953-8984/10/26/010 1764:Microelectronic Engineering 1745:Mater. Res. Soc. Symp. Proc 1454:Microelectronic Engineering 1003:Scanning probe lithography. 2569: 1370:10.1088/0963-0252/10/2/321 2442: 1321:Slovenian Research Agency 621:is the electron mass and 337:successive process node. 69:resolution. This form of 28:Electron-beam lithography 2172:Marrian, C.R.K. (1992). 1699:Chen, Frederick (2023). 1048:Electron beam technology 1018:Interference lithography 168:Electron beam write time 83:research and development 2461:Molecular self-assembly 2143:10.1021/acsnano.8b03709 2016:Applied Physics Letters 1874:, vol. 11, 1104 (1978). 1588:10.1073/pnas.96.15.8353 967:Hydrogen silsesquioxane 870:backscattered electrons 844:Monte Carlo simulations 128:field electron emission 1870:J. N. Helbert et al., 1006: 792: 713:, and proportional to 707: 672: 611: 519: 468: 343:feature diameter (nm) 291: 271: 251: 231: 208: 160: 30:(often abbreviated as 24: 2320:Multibeam Corporation 1436:10.1002/sia.740210302 1405:10.1002/sia.740010103 1036:Multibeam Corporation 1001: 913:point spread function 787: 780:Resolution capability 708: 673: 612: 520: 463: 320:Currently an optical 297:is the area exposed. 292: 272: 257:is the beam current, 252: 232: 209: 155: 79:semiconductor devices 22: 2363:IMS Nanofabrications 2351:IMS Nanofabrications 1807:10.1143/JJAP.36.7552 1718:Photomask Technology 1505:10.3938/jkps.55.1720 1269:Photomask Technology 1058:Maskless lithography 1053:Ion beam lithography 927:substrate such as a 828:ionization potential 697: 629: 537: 479: 473:inelastic scattering 322:maskless lithography 281: 261: 241: 221: 179: 124:lanthanum hexaboride 71:maskless lithography 2264:1993JVSTB..11.2299H 2229:1996JVSTB..14.2438M 2190:1992JVSTB..10.2877M 2078:2013NanoL..13.1555M 2028:1996ApPhL..68..322C 1980:1999JVSTB..17.2512B 1945:2004JVSTB..22.2948K 1842:1998JPCM...10.5821R 1799:1997JaJAP..36.7552Y 1634:1983JAP....54R...1S 1579:1999PNAS...96.8353H 1497:2009JKPS...55.1720L 1485:J. Korean Phys. Soc 1352:2001PSST...10..311S 1125:2000SPIE.3997..713P 840:secondary electrons 820:secondary electrons 771:e + AB → AB → A + B 751:secondary electrons 96:electron microscope 2481:Magnetolithography 2337:2016-12-20 at the 2332:Mapper Lithography 1726:10.1117/12.2645895 1479:K. W. Lee (2009). 1277:10.1117/12.2643250 1243:10.1117/12.2008886 1007: 865:forward scattering 809:secondary electron 793: 703: 668: 607: 515: 469: 418:critical dimension 287: 267: 247: 227: 204: 161: 32:e-beam lithography 25: 2535: 2534: 2086:10.1021/nl304715p 2055:Berggren, Karl K. 1953:10.1116/1.1821577 1918:10.1117/12.912800 1895:10.1117/12.814025 1688:Resolution Limits 1573:(15): 8353–8358. 1548:10.1117/1.3373517 1301:978-0-444-00989-0 1204:10.1117/12.681732 1180:10.1117/1.3263702 1133:10.1117/12.390042 706:{\displaystyle E} 438:deflection errors 398: 397: 290:{\displaystyle A} 270:{\displaystyle D} 250:{\displaystyle I} 230:{\displaystyle T} 2560: 2448: 2395: 2388: 2381: 2372: 2366: 2360: 2354: 2348: 2342: 2329: 2323: 2317: 2311: 2310: 2282: 2276: 2275: 2272:10.1116/1.586894 2258:(B): 2299–2303. 2247: 2241: 2240: 2237:10.1116/1.588751 2208: 2202: 2201: 2198:10.1116/1.585978 2169: 2163: 2162: 2122: 2116: 2115: 2097: 2072:(4): 1555–1558. 2063: 2046: 2040: 2039: 2036:10.1063/1.116073 2011: 2005: 1998: 1992: 1991: 1988:10.1116/1.591134 1963: 1957: 1956: 1928: 1922: 1921: 1905: 1899: 1898: 1881: 1875: 1868: 1862: 1861: 1825: 1819: 1818: 1782: 1776: 1775: 1759: 1753: 1752: 1736: 1730: 1729: 1713: 1707: 1706: 1696: 1690: 1685: 1679: 1674: 1668: 1667: 1661: 1652: 1646: 1645: 1642:10.1063/1.332840 1617: 1611: 1610: 1600: 1590: 1558: 1552: 1551: 1531: 1525: 1519: 1513: 1512: 1507:. Archived from 1476: 1470: 1469: 1460:(1–4): 131–142. 1449: 1440: 1439: 1415: 1409: 1408: 1388: 1382: 1381: 1363: 1335: 1329: 1328: 1312: 1306: 1305: 1287: 1281: 1280: 1264: 1258: 1253: 1247: 1246: 1229: 1223: 1222: 1214: 1208: 1207: 1190: 1184: 1183: 1162: 1156: 1151: 1145: 1144: 1108: 1102: 1101: 1099: 1098: 1087:Microlithography 1078: 1063:Photolithography 887:proximity effect 881:Proximity effect 762:electron cascade 712: 710: 709: 704: 677: 675: 674: 669: 667: 666: 648: 616: 614: 613: 608: 606: 605: 593: 588: 587: 569: 564: 563: 524: 522: 521: 516: 508: 503: 502: 340: 296: 294: 293: 288: 277:is the dose and 276: 274: 273: 268: 256: 254: 253: 248: 236: 234: 233: 228: 213: 211: 210: 205: 157:Field stitching. 114:Electron sources 56:photolithography 2568: 2567: 2563: 2562: 2561: 2559: 2558: 2557: 2538: 2537: 2536: 2531: 2527:Nanoelectronics 2510: 2449: 2440: 2404: 2402:Nanolithography 2399: 2369: 2361: 2357: 2349: 2345: 2339:Wayback Machine 2330: 2326: 2318: 2314: 2284: 2283: 2279: 2249: 2248: 2244: 2210: 2209: 2205: 2171: 2170: 2166: 2124: 2123: 2119: 2061: 2048: 2047: 2043: 2013: 2012: 2008: 1999: 1995: 1965: 1964: 1960: 1930: 1929: 1925: 1907: 1906: 1902: 1883: 1882: 1878: 1869: 1865: 1827: 1826: 1822: 1784: 1783: 1779: 1761: 1760: 1756: 1738: 1737: 1733: 1715: 1714: 1710: 1698: 1697: 1693: 1686: 1682: 1675: 1671: 1659: 1654: 1653: 1649: 1619: 1618: 1614: 1560: 1559: 1555: 1533: 1532: 1528: 1520: 1516: 1478: 1477: 1473: 1451: 1450: 1443: 1417: 1416: 1412: 1390: 1389: 1385: 1361:10.1.1.195.9811 1337: 1336: 1332: 1314: 1313: 1309: 1302: 1289: 1288: 1284: 1266: 1265: 1261: 1254: 1250: 1231: 1230: 1226: 1216: 1215: 1211: 1192: 1191: 1187: 1164: 1163: 1159: 1152: 1148: 1110: 1109: 1105: 1096: 1094: 1080: 1079: 1075: 1071: 1044: 983: 972: 949: 924: 897:inverse problem 883: 860: 782: 758: 747: 736: 726: 718: 695: 694: 687: 658: 627: 626: 597: 579: 555: 535: 534: 494: 477: 476: 458: 426: 330: 279: 278: 259: 258: 239: 238: 219: 218: 177: 176: 170: 150: 141: 133: 116: 91: 17: 12: 11: 5: 2566: 2564: 2556: 2555: 2550: 2540: 2539: 2533: 2532: 2530: 2529: 2524: 2522:Nanotechnology 2518: 2516: 2512: 2511: 2509: 2508: 2503: 2498: 2496:Laser printing 2493: 2488: 2483: 2478: 2473: 2468: 2463: 2457: 2455: 2451: 2450: 2443: 2441: 2439: 2438: 2436:Scanning probe 2433: 2428: 2423: 2418: 2412: 2410: 2406: 2405: 2400: 2398: 2397: 2390: 2383: 2375: 2368: 2367: 2355: 2343: 2324: 2312: 2293:(6): 399–409. 2277: 2242: 2223:(B): 2438–44. 2203: 2184:(B): 2877–81. 2164: 2137:(10): 9940–6. 2117: 2051:Stach, Eric A. 2041: 2006: 1993: 1958: 1939:(6): 2948–55. 1923: 1900: 1876: 1872:Macromolecules 1863: 1820: 1777: 1766:. 61–62: 343. 1754: 1731: 1708: 1691: 1680: 1669: 1647: 1628:(11): R1–R18. 1612: 1553: 1526: 1514: 1511:on 2011-07-22. 1471: 1441: 1410: 1383: 1330: 1307: 1300: 1282: 1259: 1248: 1224: 1209: 1185: 1157: 1146: 1103: 1072: 1070: 1067: 1066: 1065: 1060: 1055: 1050: 1043: 1040: 1022:interferometry 982: 979: 975: 974: 970: 964: 960: 948: 945: 923: 920: 882: 879: 859: 856: 811:travel in the 781: 778: 773: 772: 756: 745: 734: 724: 716: 702: 685: 665: 661: 657: 654: 651: 647: 643: 640: 637: 634: 604: 600: 596: 592: 586: 582: 578: 575: 572: 568: 562: 558: 554: 551: 548: 545: 542: 514: 511: 507: 501: 497: 493: 490: 487: 484: 457: 454: 442:shaping errors 425: 422: 396: 395: 392: 388: 387: 384: 380: 379: 376: 372: 371: 368: 364: 363: 360: 356: 355: 352: 348: 347: 344: 329: 326: 286: 266: 246: 226: 215: 214: 202: 199: 196: 193: 190: 187: 184: 169: 166: 149: 146: 140: 137: 131: 115: 112: 90: 87: 15: 13: 10: 9: 6: 4: 3: 2: 2565: 2554: 2553:Electron beam 2551: 2549: 2546: 2545: 2543: 2528: 2525: 2523: 2520: 2519: 2517: 2513: 2507: 2504: 2502: 2499: 2497: 2494: 2492: 2489: 2487: 2484: 2482: 2479: 2477: 2474: 2472: 2469: 2467: 2464: 2462: 2459: 2458: 2456: 2452: 2447: 2437: 2434: 2432: 2429: 2427: 2424: 2422: 2421:Electron beam 2419: 2417: 2414: 2413: 2411: 2407: 2403: 2396: 2391: 2389: 2384: 2382: 2377: 2376: 2373: 2364: 2359: 2356: 2352: 2347: 2344: 2340: 2336: 2333: 2328: 2325: 2321: 2316: 2313: 2308: 2304: 2300: 2296: 2292: 2288: 2281: 2278: 2273: 2269: 2265: 2261: 2257: 2253: 2246: 2243: 2238: 2234: 2230: 2226: 2222: 2218: 2214: 2207: 2204: 2199: 2195: 2191: 2187: 2183: 2179: 2175: 2168: 2165: 2160: 2156: 2152: 2148: 2144: 2140: 2136: 2132: 2128: 2121: 2118: 2113: 2109: 2105: 2101: 2096: 2091: 2087: 2083: 2079: 2075: 2071: 2067: 2060: 2056: 2052: 2045: 2042: 2037: 2033: 2029: 2025: 2021: 2017: 2010: 2007: 2003: 1997: 1994: 1989: 1985: 1981: 1977: 1973: 1969: 1962: 1959: 1954: 1950: 1946: 1942: 1938: 1934: 1927: 1924: 1919: 1915: 1911: 1904: 1901: 1896: 1892: 1888: 1880: 1877: 1873: 1867: 1864: 1859: 1855: 1851: 1847: 1843: 1839: 1835: 1831: 1824: 1821: 1816: 1812: 1808: 1804: 1800: 1796: 1793:(12B): 7552. 1792: 1788: 1781: 1778: 1773: 1769: 1765: 1758: 1755: 1750: 1746: 1742: 1735: 1732: 1727: 1723: 1719: 1712: 1709: 1704: 1703: 1695: 1692: 1689: 1684: 1681: 1678: 1673: 1670: 1665: 1658: 1651: 1648: 1643: 1639: 1635: 1631: 1627: 1623: 1622:J. Appl. Phys 1616: 1613: 1608: 1604: 1599: 1594: 1589: 1584: 1580: 1576: 1572: 1568: 1564: 1557: 1554: 1549: 1545: 1542:(2): 023001. 1541: 1537: 1530: 1527: 1523: 1518: 1515: 1510: 1506: 1502: 1498: 1494: 1490: 1486: 1482: 1475: 1472: 1467: 1463: 1459: 1455: 1448: 1446: 1442: 1437: 1433: 1429: 1425: 1421: 1414: 1411: 1406: 1402: 1398: 1394: 1387: 1384: 1379: 1375: 1371: 1367: 1362: 1357: 1353: 1349: 1345: 1341: 1334: 1331: 1326: 1322: 1318: 1311: 1308: 1303: 1297: 1293: 1286: 1283: 1278: 1274: 1270: 1263: 1260: 1257: 1252: 1249: 1244: 1240: 1236: 1228: 1225: 1220: 1213: 1210: 1205: 1201: 1197: 1189: 1186: 1181: 1177: 1174:(4): 043001. 1173: 1169: 1161: 1158: 1155: 1150: 1147: 1142: 1138: 1134: 1130: 1126: 1122: 1118: 1114: 1107: 1104: 1093:on 2019-08-19 1092: 1088: 1084: 1077: 1074: 1068: 1064: 1061: 1059: 1056: 1054: 1051: 1049: 1046: 1045: 1041: 1039: 1037: 1033: 1028: 1025: 1023: 1019: 1015: 1013: 1004: 1000: 996: 993: 989: 981:New frontiers 980: 978: 968: 965: 961: 958: 957: 956: 953: 946: 944: 942: 938: 937:New frontiers 932: 930: 921: 919: 917: 914: 910: 906: 902: 898: 893: 890: 888: 880: 878: 876: 872: 871: 866: 857: 855: 852: 848: 845: 841: 837: 833: 829: 825: 821: 816: 814: 810: 806: 802: 798: 790: 786: 779: 777: 770: 769: 768: 765: 763: 759: 752: 748: 741: 737: 729: 727: 721:. Generally, 720: 700: 692: 691:cross section 688: 681: 663: 659: 655: 649: 645: 641: 635: 632: 624: 620: 602: 598: 594: 590: 584: 580: 576: 573: 570: 566: 560: 552: 549: 543: 540: 532: 528: 512: 509: 505: 499: 495: 491: 488: 485: 482: 474: 466: 462: 455: 453: 450: 446: 443: 439: 435: 430: 423: 421: 419: 413: 412: 408: 406: 402: 393: 390: 389: 385: 382: 381: 377: 374: 373: 369: 366: 365: 361: 358: 357: 353: 350: 349: 345: 342: 341: 338: 335: 327: 325: 323: 318: 314: 311: 307: 303: 298: 284: 264: 244: 224: 200: 197: 194: 191: 188: 185: 182: 175: 174: 173: 167: 165: 158: 154: 147: 145: 138: 136: 129: 125: 121: 113: 111: 109: 105: 100: 97: 88: 86: 84: 80: 76: 72: 68: 63: 61: 57: 53: 49: 45: 41: 37: 33: 29: 21: 2358: 2346: 2327: 2315: 2290: 2286: 2280: 2255: 2251: 2245: 2220: 2216: 2206: 2181: 2177: 2167: 2134: 2130: 2120: 2095:1721.1/92829 2069: 2065: 2044: 2019: 2015: 2009: 2001: 1996: 1971: 1967: 1961: 1936: 1932: 1926: 1909: 1903: 1886: 1879: 1871: 1866: 1836:(26): 5821. 1833: 1829: 1823: 1790: 1786: 1780: 1763: 1757: 1751:(19): 19–30. 1748: 1744: 1734: 1717: 1711: 1701: 1694: 1683: 1672: 1663: 1650: 1625: 1621: 1615: 1570: 1566: 1556: 1539: 1535: 1529: 1517: 1509:the original 1488: 1484: 1474: 1457: 1453: 1427: 1423: 1413: 1396: 1392: 1386: 1343: 1339: 1333: 1320: 1310: 1291: 1285: 1268: 1262: 1251: 1234: 1227: 1218: 1212: 1195: 1188: 1171: 1167: 1160: 1149: 1116: 1112: 1106: 1095:. Retrieved 1091:the original 1086: 1076: 1029: 1026: 1016: 1008: 1002: 984: 976: 954: 950: 933: 925: 915: 904: 900: 894: 891: 884: 868: 864: 861: 853: 849: 817: 801:space charge 794: 788: 774: 766: 754: 743: 739: 732: 730: 723:E >> E 722: 714: 683: 679: 622: 618: 530: 526: 470: 464: 451: 447: 441: 437: 433: 431: 427: 414: 410: 409: 400: 399: 331: 319: 315: 299: 216: 171: 162: 156: 142: 117: 107: 101: 92: 64: 35: 31: 27: 26: 2506:Proton beam 2431:Multiphoton 2426:Nanoimprint 1974:(6): 2512. 1491:(4): 1720. 797:aberrations 108:vector scan 2542:Categories 2501:Nanosphere 2022:(3): 322. 1430:(3): 165. 1346:(2): 311. 1113:Proc. SPIE 1097:2007-01-04 1069:References 963:electrons. 858:Scattering 334:shot noise 328:Shot noise 144:focusing. 120:thermionic 48:solubility 2486:Plasmonic 2066:Nano Lett 1858:250739239 1815:250783039 1378:250916447 1356:CiteSeerX 1141:109415718 929:photomask 909:convolved 198:⋅ 186:⋅ 75:photomask 40:electrons 2515:See also 2476:Ion beam 2335:Archived 2307:15120123 2159:52271550 2151:30212184 2131:ACS Nano 2104:23488936 2057:(2013). 2000:H. Yang 1607:10411879 1325:Archived 1042:See also 1032:SEMATECH 922:Charging 916:PSF(x,y) 836:polarons 749:. These 617:, where 525:, where 434:Blanking 302:coulombs 2466:Stencil 2416:Optical 2260:Bibcode 2225:Bibcode 2186:Bibcode 2112:1060983 2074:Bibcode 2024:Bibcode 1976:Bibcode 1941:Bibcode 1838:Bibcode 1795:Bibcode 1630:Bibcode 1575:Bibcode 1493:Bibcode 1348:Bibcode 1121:Bibcode 1119:: 713. 832:phonons 306:amperes 89:Systems 60:etching 52:solvent 2305:  2287:Micron 2157:  2149:  2110:  2102:  2002:et al. 1856:  1813:  1605:  1595:  1376:  1358:  1298:  1139:  905:D(x,y) 901:E(x,y) 813:resist 805:resist 217:where 139:Lenses 104:raster 81:, and 44:resist 2471:X-ray 2454:Other 2155:S2CID 2108:S2CID 2062:(PDF) 1854:S2CID 1811:S2CID 1660:(PDF) 1598:17521 1399:: 2. 1374:S2CID 1137:S2CID 907:when 875:flare 719:– 1/E 401:Note: 394:4158 386:2037 378:1039 310:wafer 2491:Soft 2409:Main 2303:PMID 2147:PMID 2100:PMID 1603:PMID 1296:ISBN 1117:3997 939:and 834:and 824:PMMA 799:and 370:509 362:260 354:127 2295:doi 2268:doi 2233:doi 2194:doi 2139:doi 2090:hdl 2082:doi 2032:doi 1984:doi 1949:doi 1914:doi 1891:doi 1846:doi 1803:doi 1768:doi 1749:739 1722:doi 1638:doi 1593:PMC 1583:doi 1544:doi 1501:doi 1462:doi 1432:doi 1401:doi 1366:doi 1273:doi 1239:doi 1200:doi 1176:doi 1129:doi 738:to 715:1/E 436:or 405:ppm 383:10 375:14 367:20 359:28 351:40 110:). 36:EBL 34:or 2544:: 2301:. 2291:35 2289:. 2266:. 2256:11 2254:. 2231:. 2221:14 2219:. 2215:. 2192:. 2182:10 2180:. 2176:. 2153:. 2145:. 2135:12 2133:. 2129:. 2106:. 2098:. 2088:. 2080:. 2070:13 2068:. 2064:. 2053:; 2030:. 2020:68 2018:. 1982:. 1972:17 1970:. 1947:. 1937:22 1935:. 1852:. 1844:. 1834:10 1832:. 1809:. 1801:. 1791:36 1789:. 1747:. 1743:. 1662:. 1636:. 1626:54 1624:. 1601:. 1591:. 1581:. 1571:96 1569:. 1565:. 1538:. 1499:. 1489:55 1487:. 1483:. 1458:32 1456:. 1444:^ 1428:21 1426:. 1422:. 1395:. 1372:. 1364:. 1354:. 1344:10 1342:. 1319:. 1170:. 1135:. 1127:. 1085:. 988:eV 733:2E 403:1 391:7 85:. 67:nm 62:. 2394:e 2387:t 2380:v 2309:. 2297:: 2274:. 2270:: 2262:: 2239:. 2235:: 2227:: 2200:. 2196:: 2188:: 2161:. 2141:: 2114:. 2092:: 2084:: 2076:: 2038:. 2034:: 2026:: 1990:. 1986:: 1978:: 1955:. 1951:: 1943:: 1920:. 1916:: 1897:. 1893:: 1860:. 1848:: 1840:: 1817:. 1805:: 1797:: 1774:. 1770:: 1728:. 1724:: 1705:. 1666:. 1644:. 1640:: 1632:: 1609:. 1585:: 1577:: 1550:. 1546:: 1540:9 1503:: 1495:: 1468:. 1464:: 1438:. 1434:: 1407:. 1403:: 1397:1 1380:. 1368:: 1350:: 1304:. 1279:. 1275:: 1245:. 1241:: 1206:. 1202:: 1182:. 1178:: 1172:8 1143:. 1131:: 1123:: 1100:. 971:2 757:0 755:E 746:0 744:E 740:E 735:0 725:0 717:0 701:E 686:0 684:E 680:T 664:2 660:v 656:m 653:) 650:2 646:/ 642:1 639:( 636:= 633:E 623:E 619:m 603:2 599:b 595:E 591:/ 585:4 581:e 577:= 574:m 571:2 567:/ 561:2 557:) 553:p 550:d 547:( 544:= 541:T 531:v 527:b 513:v 510:b 506:/ 500:2 496:e 492:2 489:= 486:p 483:d 285:A 265:D 245:I 225:T 201:I 195:T 192:= 189:A 183:D 132:2

Index


electrons
resist
solubility
solvent
photolithography
etching
nm
maskless lithography
photomask
semiconductor devices
research and development
electron microscope
raster
thermionic
lanthanum hexaboride
field electron emission

coulombs
amperes
wafer
maskless lithography
shot noise
ppm
critical dimension

inelastic scattering
cross section
secondary electrons
electron cascade

Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.

↑